FPGA经验谈系列文章——前言和目录

  • 前言
  • 工作内容
  • 最后

前言

转眼间,工作也即将十年时间,也是做FPGA开发的十年,虽然我总是认为我一开始走错了路,我应该去搞互联网的o( ̄︶ ̄)o。但也确实做到了干一行爱一行吧,搞FPGA我还是很认真的,这点自我认同还是有的。
搞FPGA尽管没有互联网那么多金,但也有其优点:
1、积累的东西也没这么容易过时。例如十年前的verilog语言跟现在的verilog语言几乎没什么变化,十年前数字信号处理和现在的也没什么变化。知识相对稳定。
2、入门有门槛,不像软件有台电脑有编程语法知识就可以学的。知识上,你要有知识储备,例如你要有数字信号处理的知识;实物上还得舍得投资,至少你得买一块开发板吧。
3、经验复制难。现在社会上很多的IT速成班,什么北大青鸟之类的,很老牌的IT培训班了,培训JAVA之类的。但FPGA的速成班却非常少,这东西不是掌握了verilog语法就可以的了,又要跟硬件打交道,又要跟算法打交道,又要跟嵌入式软件打交道,涉及太多,很难通过自学学到太多深入的东西。
4、抗衰老一点。我也不敢说是越来越吃香了,毕竟搞FPGA也算是程序员的一种吧,都说程序员35岁要失业,但我觉得FPGA应该是例外,至少没这么快吧。做FPGA有些经验是你不经历过你永远无法深刻体会的,所以一个资深的FPGA开发者的作用,远远不是新来多少个资历较浅的开发者能替代的。
因此,既然选择已经无法改变,那就接受它,并且做好它吧。

工作内容

整个工作经历中,使用Xilinx的片子占到了9年,只有1年是使用的Altera的片子
从最早在学校做项目使用的 Virtex-4、Virtex-5系列
到工作来接触的Spantan3、Spantan6,Artix-7、ZYNQ7系列,到ZYNQMP系列等一路越来越高端、容量资源越来越多。软件也是从ISE7.1到Vivado2020.2经历了多次升级。

严格的说,目前我一共经历了四份工作
1、第1份工作,使用FPGA主要是进行算法实现,要求的主频不高,资源一般也不太受限制。难点在于算法的理解,性能的提升和以及和软件的配合等
2、第2份工作,初步接触到了高速接口的内容,但其主要内容基本还是进行算法实现,只是和上一份工作算法内容不太一样
3、第3份工作,在一个初创公司,也是锻炼很大的一个公司,工作内容和第一份工作的内容接近,但整个系统需要我去主导,FPGA使用的是ZYNQ系列,无论是系统的实现方案还是硬件、FPGA、ARM、上位机等方方面面的具体实现我必须都要进行深入介入才行。算是培养了一种“全栈解决”的能力吧。
4、第4份工作,也就是目前的工作,接触了更多的高速接口的内容以及在其基础上的协议,例如JESD、serdes之类的啊。其实我始终觉得基于serdes的一些协议,例如JESD、PCIE等才是FPGA作用的精华所在。

每一份工作都接触到了一些新的东西和新的思想。尽管钱没怎么赚到,知识还是赚到了,也不知道这到底算是赚还是亏。

最后

由于FPGA的高度灵活性,能够解决问题的方式也是各式各样的,所以每个FPGA工程师积攒的经验都是有比较大的差异的,而且千万不要去辩论,因为互相很难说服对方。因为每个人都是用自己的总结的方法解决了自己碰到的问题。不过正所谓条条道路通罗马,遇到其他工程师交流经验的时候,尽管有时候可能不太认同,但我也不会发声,因为他就是用他的方法解决了他所描述的问题,也算表示尊重吧。
因此当我所说的经验与各位看官不符的时候,也请在评论区指出来,我也好做一个参考,不枉我写这一系列文章的初心。

关于这个系列的一些文章,我会慢慢列出,有可能会想到什么写点什么吧。以下是我暂时想到的

1、写verilog代码一定要心中有电路?

2、逻辑级数

3、静态时序分析(1,2,3)

4、浅谈复位(复位必要性、复位如何设计、复位影响什么)

4、高速时钟设计

5、资源评估

FPGA经验谈系列文章——前言和目录相关推荐

  1. FPGA经验谈系列文章——静态时序分析(二)

    提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档 FPGA经验谈系列文章--静态时序分析(二) 四种时序路径 时序路径段 接上一篇,上一篇是一个ALTERA的培训PPT,这一篇是有一个 ...

  2. FPGA经验谈系列文章——FPGA资源评估

    提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档 FPGA经验谈系列文章--FPGA资源评估 前言 如何评估 哪些资源需要评估 怎么快速的进行资源统计 评估结果 前言 大家在项目中一般 ...

  3. FPGA经验谈系列文章——FPGA开发方向以及算法开发模型

    提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档 FPGA经验谈系列文章--FPGA开发方向以及算法开发模型 前言 接口方向 算法方向 总结 前言 FPGA开发笼统的说可以分为两个方向 ...

  4. FPGA经验谈系列文章——时序不过怎么办

    提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档 FPGA经验谈系列文章--时序不过怎么办 前言 如果设计验证和测试已经接近尾声,偶尔进行小改可能出现几条时序违例的情况 逻辑级数过大引 ...

  5. FPGA经验谈系列文章——那些曾经让我发狂的BUG

    提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档 FPGA经验谈系列文章--那些曾经让我发狂的BUG 前言 跨时钟域处理不对问题 组合逻辑产生锁存器问题 外围器件协议理解不深的问题 接 ...

  6. FPGA信号处理系列文章——码元同步

    提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档 FPGA信号处理系列文章--码元同步 前言 码元粗同步 超前-滞后门同步器 鉴别器 matlab程序 前言 前面,我们将了锁频环和锁相 ...

  7. FPGA信号处理系列文章——数字锁相环

    提示:文章写完后,目录可以自动生成,如何生成可参考右边的帮助文档 FPGA信号处理系列文章--数字锁相环 锁相环的一些概念 鉴相器 Matlab 程序 锁相环的一些概念 1.捕获.锁定与跟踪的概念 捕 ...

  8. 《Cisco交换机配置与管理完全手册》(第二版)前言和目录

    史上最具人气.最受好评的网络设备图书领域"四大金刚"的全新升级版本再现江湖了,他们分别是:<Cisco交换机配置与管理完全手册>(第二版).<H3C交换机配置与管 ...

  9. 《H3C交换机配置与管理完全手册》(第二版)前言和目录

    史上最具人气.最受好评的网络设备图书领域"四大金刚"的全新升级版本再现江湖了,他们分别是:<Cisco交换机配置与管理完全手册>(第二版).<H3C交换机配置与管 ...

最新文章

  1. Windows 8 Metro App学习笔记(九)—磁砖
  2. 求职Python开发,面试官最喜欢问的几个问题
  3. 看看10万程序员怎么评论:零基础的前端开发该如何系统地学习?
  4. spring-boot(二)
  5. CMap在用CString做key类型时,ARG_KEY要选LPCTSTR
  6. Bootstrap日期插件之Datetimepicker修改默认语言(附带源码)
  7. 关键信息基础设施保护条例_韩永刚:内生安全助力关键信息基础设施保护
  8. python爬虫入门必备正则_python 爬虫入门之正则表达式 一
  9. 蓝桥杯 历届试题 危险系数
  10. 明了 | MongoDB 外键的基本使用
  11. 走进PV,UV,IP,session
  12. python 双冒号切片_Numpy 学习笔记
  13. TensorFlow 学习(十五)—— tensorflow.python.platform
  14. 【js高级程序设计】迭代器
  15. wps 将html转为word,用WPS快速将网页内容转换为WORD文档
  16. ssm项目,测试方法一直转圈,运行不停止
  17. 层次分析法实例:选择旅游目的地
  18. 3.神经网络-深度学习入门
  19. Axure RP 8.1 下载(附汉化+注册码)
  20. 博图region用法_西门子1200PLC的OB块用法讲解

热门文章

  1. Unity(四十六):遮挡剔除
  2. Linux 下编译自己的 OpenJDK7 包括JVM和JDK API
  3. jzoj4668. 腐败(数论)
  4. 《奔跑吧Linux》之Linux内核奔跑卷
  5. 步道乐跑怎么刷公里_步道乐跑怎么刷?
  6. 嵌入式薪资真实情况,这届毕业生都拿多少钱!
  7. C++ MFC万能的类向导
  8. 云计算 第4章 云计算与移动互联网/物联网
  9. AspectJ 入门篇
  10. 如何把Community project导入到Aras Innovator 11R9