利用主时钟产生周期方波的基本思路:

1)利用计数器对时钟沿进行计数,计数总数为方波周期/时钟周期;

2)计数总数的一半为高电平,另一半为低电平。

代码:

module Adv(clk_5m,rst,clk_25hz);input clk_5m;
input rst;
output clk_25hz;reg [17:0]cnt;
reg clk_25hz;always @(posedge clk_5m or negedge rst)if(!rst) cnt <= 0;else if(cnt<199999)cnt <= cnt+1;else cnt <= 0;always @(posedge clk_5m or negedge rst)if(!rst)clk_25hz <= 0;else if(cnt<99999)clk_25hz <= 1;else clk_25hz <= 0;endmodule

仿真代码编写:

`timescale 1ns/1ns
`define clk_period 200   //200ns=5Mhz//定义模块
module Adv_tb;//定义激励接口(信号发生器接口)
reg clk_5m_tb;
reg rst_tb;
wire clk_25hz_tb;//连线(信号发生器信号接入模块)
Adv Avd1(
.clk_5m(clk_5m_tb),
.rst(rst_tb),
.clk_25hz(clk_25hz_tb)
);//输入激励(信号发生器信号波形参数控制输出)
initial clk_5m_tb = 0;
always #(`clk_period/2)  clk_5m_tb = ~clk_5m_tb;initial begin#0   rst_tb = 1;#(`clk_period*5); rst_tb = 0;#(`clk_period*1); rst_tb = 1;#(`clk_period*800000); $stop;
endendmodule

仿真波形:

FPGA自学:利用主时钟产生周期方波相关推荐

  1. 信号处理趣学D4——利用谐波叠加对周期方波进行逼近

    小虎最近试着用谐波叠加对周期方波逼近,发现实现起来很有趣,我用的仿真手段是MATLAB,这里跟大家分享一下学习经验. 目录 结果 代码分析 完整代码 更多 结果 图1 n=1 图2 n=8 图3 n= ...

  2. python正弦波叠加方波_无穷多个(幅值)和(频率)不等的正弦波叠加就能形成周期方波。_学小易找答案...

    [简答题]请上传撰写的演讲稿 (30.0分) [单选题]被称为"信息化的第一场战争"的是() [简答题]编程题 1 .编写一个 C 程序 , 从键盘上输入任意两个整数 , 输出他们 ...

  3. 【 MATLAB 】使用 MATLAB 比较占空比不同的周期方波的 DFS 图

    周期方波的表达式我就不提炼了,既然你来看文章了,我就不信你周期方波都不知道. 占空比定义为:L/N,N为周期,下面我们对 L = 5,N = 20: L = 5,N = 40:L = 5,N = 60 ...

  4. 求周期方波信号的傅里叶级数_1-1 求周期方波(见图1-4)的傅里叶级数(复指数函数形 ….doc...

    1-1 求周期方波(见图1-4)的傅里叶级数(复指数函数形 -.doc 1-1 求周期方波(见图1-4)的傅里叶级数(复指数函数形式),划出|cn|–ω和φn–ω图,并与表1-1对比. 解答:在一个周 ...

  5. python画方波_python实现周期方波信号频谱图

    在学习傅里叶变换的时候遇到了求周期方波信号频谱图的例子,在书上和网上查阅了一些资料,发现大都是讨论的都是下图左边的周期信号的频谱,课程老师的PPT中也只列出了另一种周期信号频谱图的结论,没有在进行傅里 ...

  6. FPGA自学笔记--串口通信发送多字节数据(verilog版)

    1.需求分析 关于uart协议实现这部分大家可以参考我上一篇的博客.<FPGA自学笔记--串口通信实现(vivado&verilog版)>.在上一篇博客中,主要实现了将单字节的数据 ...

  7. FPGA自学笔记(二)仿真文件tb

    FPGA自学笔记(二)仿真文件tb 一.创建文件 创建 simulation sources ,命名为 tb_模块名. 二.代码 1.定义reg,wire 因为要测试一个模块,所以该模块的input应 ...

  8. FPGA如何利用查表法得到某角度所对应的正弦值、余弦值

    FPGA如何利用查表法得到某角度所对应的正弦值.余弦值 1 实现思路 2 具体实现步骤 2.1 MATLAB生成sin.coe文件和cos.coe文件 2.2 将sin.coe和cos.coe文件分别 ...

  9. MATLAB中产生周期方波信号

    1  square函数--产生周期方波信号 调用格式:y=A*square(t,w): 用以产生一个时长为t.幅值为±A的周期方波信号,其中的参数w表示占空比(即在信号的一个周期中正值所占的百分比). ...

最新文章

  1. Sketchup插件Vray户外场景设计渲染教程 Vray Next For Sketchup Exterior
  2. Rocksdb 利用recycle_log_file_num 重用wal-log文件
  3. linux6直连存储挂载磁盘,CentOS7mount网络磁盘的详细步骤
  4. 败家玩意儿!Redis 竟然浪费了这么多内存!
  5. json数据交换的例了
  6. matlab矩阵处理实验报告,matlab实验报告一二三
  7. ios 输入法扩展_ios 应用扩展—— Keyboard Extension
  8. 为什么老板给 ta 升职加薪?
  9. java 多个timer_java – Timer正在创建多个计时器实例
  10. linux下目录与文件的权限及特殊权限
  11. 【爬虫】获取新郑机场出租车实时数据
  12. 软件开发人员需要记住的10个操作系统概念(译)
  13. 8.综合案例:爱宠知识
  14. LabVIEW动态数据交换DDE
  15. 送给想进大厂的程序员们
  16. luffy-16/订单表设计,立即付款接口/前端,支付成功get回调用户展示,支付成功post回调修改订单状态
  17. 天玥系列微型计算机,【简讯】AMD正式发布RX 6000系列显卡;OPPO K7x宣布…
  18. mysql 创建外部表_Greenplum
  19. Java向word文档中添加水印
  20. 《Operating System Concepts(操作系统概念)》课程学习(1)——Chapter 1 Introduction(第1章 绪论)

热门文章

  1. 高级shell编程笔记(第三十三章 杂项)
  2. Java import 和 import static
  3. 根据byte数组,生成文件
  4. 用“找回你”,查找手机号的前身都被注册过些什么……
  5. Redis从入门到深入-删除策略(18)
  6. 让cygwin方便安装软件 apt-cyg
  7. hadoop1.1.2分布式安装---集群动态增减节点
  8. 【技术工坊39期】去中心化钱包和中心化钱包的开发框架和实现
  9. 最新软件工程师薪资大揭秘!你的薪资达到平均水平了吗?
  10. 自学前端建立知识体系【全新web前端开发视频教程】