最近在做AX2012 R3 CU9 到CU11的upgrade时 (用的Admin帐号), 在Date upgrade 的 synchronize database 这步 跑了一半,报出错误

说“failed to create a session; confirm that the user has the proper privileges to log on to the Microsoft Dynamics.”。

Bing了一圈,找到了有用信息http://community.dynamics.com/ax/f/33/t/128614。

在我们的AX数据库的Partitions表里有四条记录,即这里的AX多设了三个partitions.  但是在UserInfo表里Admin对应的partition只有一个。

所以我就在SSMS中Edit UserInfo 表,copy Admin那条记录,插入三份,并修改partition, recid 使用partitions表中其它三个partition的recid.

然后继续做sync database 就成功完成了。

转载于:https://www.cnblogs.com/alan-zhou/p/5952837.html

AX2012 R3 Data upgrade checklist sync database step, failed to create a session;相关推荐

  1. oracle的farsync,Oracle 12c 新特性 Active Data Guard Far Sync

    Active Data Guard Far Sync 概述 Active Data Guard Far Sync 是Oracle 12c 的新功能(也称为Far Sync Standby),Far S ...

  2. Oracle Study之--Oracle 11g RAC故障(Failed to create or upgrade OLR)

    系统环境: 操作系统: RedHat EL5.5 Cluster:   Oracle Grid 11.2.0.1.0 Oracle:     Oracle 11g  11.2.0.1.0 故障现象:  ...

  3. Flutter开发:运行flutter upgrade命令报错Exception:Flutter failed to create a directory at…解决方法

    前言 在Flutter开发中,经常会遇到因为更新而引起的报错,而且最近两年Flutter不断完善和更新的速度越来越快,这就需要Flutter相关的开发者时刻保持不断学习的心态,来应对在Flutter开 ...

  4. ERROR:Session/line number was not unique in database. History logging moved to new session.

    摘要:遇到此类错误,可以通过分段调试的方法找到引发错误的位置. 引发错误的原因不详,可能很基础. --------------------------------------------------- ...

  5. esp32烧录的时候出现报错Chip sync error :Failed to connect to ESP32: Timed out waiting for packet heade

    Chip sync error : Failed to connect to ESP32: Timed out waiting for packet header ESP32 Chip sync er ...

  6. Failed to get schema version. Underlying cause: Failed to create database metastore_db

    org.apache.hadoop.hive.metastore.HiveMetaException: Failed to get schema version. Underlying cause: ...

  7. Vivado关联Modelsim行为级仿真出错 [USF-ModelSim-70] 'compile' step failed with error(s) while executing

    问题发现 昨天关联仿真,一切正常,然后晚上电脑没关,直接盖上休眠,第二天发现报错 [USF-ModelSim-70] 'compile' step failed with error(s) while ...

  8. vivado仿真出错:[USF-XSim 62] 'compile' step failed with error(s) while executing

    问题描述: 当我写完设计文件和testbench文件之后,综合与实现都能通过,但进行仿真时,报如下图1的错误: 图1 [USF-XSim 62] 'compile' step failed with ...

  9. [USF-XSim-62] 'elaborate' step failed with error(s).使用vivado进行verilog实验,无法进行仿真,如何解决?

    我之前用的vivado2014.3后来用的vivado2015.03,在Windows10操作系统上,想要仿真的时候报如下类似错误 [USF-XSim 62] 'elaborate' step fai ...

  10. [USF-XSim-62] ‘elaborate‘ step failed with errors.[Vivado 12-4473] Detected error while running sim

    [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output .and [Vivad ...

最新文章

  1. 17福师《计算机应用基础,17春福师《计算机应用基础》在线作业一.doc
  2. linux编辑模式复制快捷键,Linux vim删除、复制、粘贴快捷键
  3. 源码安装sippyqt4 for ubuntu,anconda3,python3
  4. Cloud for Customer手机应用的deviceready事件是何时何处被抛出的
  5. M - Kill the tree 计蒜客 - 42552(2019icpc徐州/树的重心/树形dp)
  6. 换工作,让我里外不是人,到底错在哪儿
  7. The parent project must have a packaging type of POM
  8. cnn风格迁移_愚蠢的CNN,换个马甲就认不出猫!但,这病能治 | ICLR Oral
  9. revit API 实现可停靠窗口
  10. 程序员要学点儿理财知识,而不仅仅是代码技巧
  11. Atitit 高并发 性能指标 与压测工具 压测方法 目录 1. 性能的几个指标 1 1.1. 主要是响应时间(Response time) 1 1.2. 2.吞吐量(Throughput) 1 1
  12. AI(人工智能)的英文全称是什么?AI指什么?包含什么?
  13. Win11怎么设置开机启动项?
  14. 03-12306验证码文字 识别
  15. 2021全国电子设计大赛 D题 基于互联网的摄像测量系统 源码解析
  16. 动态规划——Weighted Interval Scheduling
  17. 学习OpenCV2——Mat之通道的理解
  18. 实现个人域名跳转指定网站
  19. vue移动端实现excel在线预览
  20. TensorRT 4 基本介绍及TensorFlow模型转化Uff格式导入(二)

热门文章

  1. libevent c++高并发网络编程_高并发-网络I/O
  2. 浙江省高考计算机重点知识,2017届浙江省新高考信息技术考试标准
  3. java安装证书_Java安装证书文件
  4. hook代码 svn_Svn结合hook实现自动更新及多Project管理更新
  5. python 桌面数据库_python数据库操作笔记
  6. PostgreSQL 10.1 手册_部分 II. SQL 语言_第 10 章 类型转换_10.5. UNION、CASE和相关结构...
  7. eclipse 安装gradle并成功创建一个项目
  8. Linux系统编程之进程间通信
  9. pycharm搭建第一个django项目
  10. [异常处理]class kafka.common.UnknownTopicOrPartitionException (kafka.server.ReplicaFetcherThread)...