闹钟设计实验报告

闹钟设计实验报告

院系: 计算机与通信学院

专业: 计算机科学与技术

班级: 01154 班

姓名: 伍晨曦 (13号)

指导老师: 杨 华

实验目的:

学会VHDL语言的并发执行的特点;

熟悉VHDL的一些语法;

初步了解VHDL的编程思路;

内容实验:

一个电子钟.能用数码管显示时间.可以更改时间.可以闹铃.. 具有电子钟得功能.即可以正确的显示时间,可以更改时间.可以在规定的时间内闹铃,闹铃的时间为1分钟.闹铃的时间可调.

三.实验原理

根据VHDL语言编制底层模块,采用基本的图像法来完成顶层的布线,利用VHDL语言编制模块可以省去很多复杂的连线及列写复杂的逻辑函数关系。

其中的时间模块用计数器来模拟.一个24位计数器来模拟小时,两个60位计数器来模拟分钟和秒.其中闹铃里要加一个寄存器来存贮闹铃的设定.显示模块用数码管来显示.

按照本课程设计要求及提供的数字逻辑系统EDA实验设备,思路如下:

设计好小时、分钟、秒钟、按键、寄存器、扫描、闹铃、七段码、二选一、顶层电路的设计。这些模块采用VHDL语言设计,然后生成模块存放在库中供以后调用。采用图形法来设计顶层模块并编译、仿真并下载,生成大模块已完成课程要求。

四:源程序的实现

1.小时的模块:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity hour is

port(

clk :in std_logic;

ho2,ho1 :out std_logic_vector(3 downto 0)

);

end hour;

architecture structure of hour is

signal h2_temp :std_logic_vector(3 downto 0);

signal h1_temp :std_logic_vector(3 downto 0);

begin

process(clk)

begin

if(clk'event and clk='1') then

if(h2_temp="0010" and h1_temp="0011")then

h2_temp<="0000";

h1_temp<="0000";

elsif(h1_temp="1001")then

h1_temp<="0000";

h2_temp<=h2_temp+1;

else

h1_temp<=h1_temp+1;

end if;

end if;

ho2<=h2_temp;

ho1<=h1_temp;

end process;

end structure;

波形图

2.分钟的模块:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity minute is

port(

clk :in std_logic;

cn :out std_logic;

ho2,ho1 :out std_logic_vector(3 downto 0)

);

end minute;

architecture structure of minute is

signal h2_temp :std_logic_vector(3 downto 0);

signal h1_temp :std_logic_vector(3 downto 0);

begin

process(clk)

begin

if(clk'event and clk='1') then

if(h2_temp="0101" and h1_temp="1001")th

闹钟Android实验报告,闹钟设计实验报告.doc相关推荐

  1. android常用控件实验报告,ui设计实验报告.doc

    ui设计实验报告 ui设计实验报告 篇一:UI设计实验报告 实验项目四:UI设计 一. 实验目的和要求 1.熟练运用Eclipse软件中的swing设计. 2.掌握UI编写的软件. 3.能都熟练的进行 ...

  2. 实验五——数据库设计实验

    实验五--数据库设计实验 一.实验题目 二. 实验内容和要求 三.实验步骤 1.分析问题 2.概念结构设计 3.逻辑结构设计 四.实验心得体会 一.实验题目 数据库设计实验 二. 实验内容和要求 掌握 ...

  3. 数据库实验5 数据库设计实验

    前言:不知道啥原因,最后设计出来少了一个表,无语 实验5 数据库设计实验 1.实验目的 掌握数据库设计基本方法及数据库设计工具. 2.实验内容和要求 掌握数据库设计基本步骤,包括数据库概念结构设计.逻 ...

  4. 自控实验——直流电机转速设计实验报告

    自控原理-直流电机转速控制设计实验报告 实验报告 一.实验目的 了解直流电机转速测量与控制的基本原理 掌握LabVIEW图形化编程方法,编写电机转速控制系统程序 熟悉PID参数对系统性能的影响,通过调 ...

  5. 微机原理与接口技术实验:子程序设计实验

    学生实验报告 实验课名称:微机原理与接口技术 实验项目名称:子程序设计实验 实验名称:单指令功能的实现 一. 实验目的 1.掌握汇编语言源程序子程序设计方法:. 二.实验内容 设计一个程序,将所有的小 ...

  6. 计算机控制系统课程设计中期报告,课程设计中期报告模板.doc

    课程设计中期报告模板概要 新疆大学 信息科学与工程学院 <程序设计>课程设计中期报告 题目: 专 业 计算机科学与技术 学 生 姓 名 学 号 联 系 方 式 班 级 计算机15-班 组 ...

  7. android 编程 闹钟,Android编程实现闹钟的方法详解

    本文实例讲述了Android编程实现闹钟的方法.分享给大家供大家参考,具体如下: 在Android中,有一个闹钟的类,AlarmManager类,我们可以通过其来对系统内的通知进行操作! 本文用到了S ...

  8. android输入法源码分析,基于Android的输入法的设计与实现.doc

    本 科 毕 业 论 文 基于Android的输入法的设计与实现 The Design and Realization of the Input Method Based on Android 学 院 ...

  9. 计算机网络虚拟局域网实验报告,课程设计实验报告-虚拟局域网VLAN的配置.doc

    文档介绍: 设计 中北大学电子与计算机科学技术学院 实验报告 组号________ 学号____ ___ 姓名____ 实验时间__2012-_______ 课程名称:网络设备与集成 辅导教师:王东 ...

  10. 计算机控制实验PID数字控制器设计,实验二数字PID控制器的设计

    <实验二数字PID控制器的设计>由会员分享,可在线阅读,更多相关<实验二数字PID控制器的设计(13页珍藏版)>请在人人文库网上搜索. 1.实验二 数字PID控制器的设计直流闭 ...

最新文章

  1. 建立循环双链表(尾插法)
  2. jquery改变html元素的样式,给input标签赋值,onclick中文传参问题等
  3. Linux的NTP配置总结(转)
  4. POJ 3159 Candies
  5. 深度学习(十八)——YOLOv2(2), 语义分割
  6. 【原创 深度学习与TensorFlow 动手实践系列 - 2】第二课:传统神经网络
  7. 程序员面试金典 - 面试题 17.04. 消失的数字(数学/位运算)
  8. HBase完全分布式搭建
  9. 提高效率:17款超赞的谷歌chrome浏览器插件、扩展程序
  10. c语言程序设计冯志红pdf,C语言程序设计:现代方法(第2版) 中文pdf扫描版[219MB]...
  11. C语言实现循环左移和右移
  12. 积木游戏(java)
  13. 报告显示,宝爸参与度不断提升,超三成男性提前服用营养品备孕
  14. JQ与JS实现全选按钮案例
  15. http://fonts.googleapis.com/css?打开很慢解决方案
  16. 传感器响应时间与滤波器截止频率的关系
  17. 使用公开页实现扫码签到功能
  18. 面向个性化需求的在线云数据库混合调优系统 | SIGMOD 2022入选论文解读
  19. UEFI启动+GPT分区模式下双硬盘SSD+HDD+Win10安装Ubuntu18.04.1组成双系统
  20. c# winform 查源码综合工具及方法、快速查c#源码方法,加壳工具

热门文章

  1. iOS开发 - 内购沙盒测试正常,因产品返回数为0被苹果审核多次拒绝 (In-app purchase products return 0)
  2. 技术分享-应用列表性能优化
  3. ipad忘记锁屏密码用计算机解决,忘了密码后如何解除iPhone和iPad的屏幕锁定 | 都叫兽软件...
  4. item-based CF
  5. RD Client、TeamViewer连接主机
  6. 带农历的中国万年历制作
  7. KNN实现鸢尾花分类--数据可视化--根据花萼及花瓣分类--sklearn
  8. TIPTOP ERP 快捷键使用及其实现原理
  9. android7 miui8,小米5c Android 7.1内核MIUI8内测版
  10. 怎样创建淘宝鱼塘,怎样利用鱼塘拉新,怎样利用鱼塘管理好老客户?