代码在git 你可以直接 sh compile.sh来运行

nandgate.v

//与非门设计
`timescale 1ns/10psmodule nand_gate( input A, input B, output Y );assign Y=~(A & B);
endmodule

stimulus_tb.v

//-------testbench of nandgate------------
//与非门
//罗干 2022-05-10`timescale 1ns/10psmodule  nand_gate_tb;reg   aa;
reg   bb;
wire  yy;nand_gate nand_gate (.A(aa),.B(bb),.Y(yy));initial begin$dumpfile("test.vcd");$dumpvars(0,nand_gate_tb);aa<=0;  bb<=0;#10 aa<=1;  bb<=0;#10 aa<=0;  bb<=1;#10 aa<=1;  bb<=1;#10 $stop;
end
endmodule
#!/bin/bash
echo "开始编译"iverilog nandgate.v stimulus_tb.v -o nand#./invetecho "编译完成"
vvp -n nand -lxt2
echo "生成波形文件"
cp test.vcd wave.lxt
echo "打开波形文件"
gtkwave wave.lxt

verilog 实战 与非门相关推荐

  1. 01 【Verilog实战】同步FIFO的设计(附源码RTL/TB)

    虚拟机:VMware -14.0.0.24051 环 境:ubuntu 18.04.1 脚 本:makefile(点击查看) 应用工具:vcs 和 verdi 写在前面 这个专栏的内容记录的是个人学习 ...

  2. 04【Verilog实战】SPI协议底层硬件接口设计(附源码RTL/TB)

    脚  本:makefile 工  具:vcs 和 verdi 写在前面 这个专栏的内容记录的是个人学习过程,博文中贴出来的代码是调试前的代码,方便bug重现. 调试后的程序提供下载,[下载地址] 发现 ...

  3. 03【Verilog实战】UART通信协议,半双工通信方式(附源码)

    脚 本:makefile(点击直达) 应用工具:vcs 和 verdi 写在前面 这个专栏的内容记录的是个人学习过程,博文中贴出来的代码是调试前的代码,方便bug重现. 调试后的程序提供下载,[下载地 ...

  4. 05【Verilog实战】AMBA 3 APB接口设计(附源码RTL/TB)

    官方手册:点击下载 脚  本:makefile 工  具:vcs & verdi 写在前面 这个专栏的内容记录的是个人学习过程,博文中贴出来的代码是调试前的代码,方便bug重现. 调试后的程序 ...

  5. 02【Verilog实战】异步FIFO设计(附源码RTL/TB)

    脚 本:makefile 工 具:vcs 和 verdi 文 章:1. 同步FIFO的设计和功能验证(附源码)     2. Verilog的亚稳态现象和跨时钟域处理方法 写在前面 这个专栏的内容记录 ...

  6. verilog实战(1)自动售卖饮料机

    verilog代码如下: /* date: 2022/8/31 author: Xingxing Zhou */module auto_selll_drinks(input wire sys_clk ...

  7. 关于verilog的一些基础知识整理

    *作者: Ian11122840 时间: 2010-9-27 09:04 * *标题: 菜鸟做设计必看!有关如何做设计的整体思路,以及能否综合的笔记 * *所谓综合,就是把描述语言转化成能硬件实现的电 ...

  8. 对于Verilog语言的一些总结

    1.不使用初始化语句: 2.不使用延时语句: 3.不使用循环次数不确定的语句,如:forever,while等: 4.尽量采用同步方式设计电路: 5.尽量采用行为语句完成设计: 6.always过程块 ...

  9. Verilog 总结

    先记下来: 1.不使用初始化语句: 2.不使用延时语句: 3.不使用循环次数不确定的语句,如:forever,while等: 4.尽量采用同步方式设计电路: 5.尽量采用行为语句完成设计: 6.alw ...

  10. 初学verilog必看

    原文链接:http://www.cnblogs.com/capark/p/4121369.html 先记下来: 1.不使用初始化语句: 2.不使用延时语句: 3.不使用循环次数不确定的语句,如:for ...

最新文章

  1. ubuntu 16.04 安装PhpMyAdmin
  2. 关于com.android.support:appcompat-v7:26.0.0以上无法下载的问题
  3. 前端:JSON.stringify() 的 5 个秘密特性
  4. 【洛谷P4707】重返现世【扩展Min-Max容斥】【dp】
  5. stm32g4 下载算法_难道STM32G4芯片的主频才到80MHz?
  6. C语言:(旧)用字符串自由输入计算的计算器(加减乘除)
  7. 优秀的人都有一个共同点
  8. 2021年中国电动气动控制器市场趋势报告、技术动态创新及2027年市场预测
  9. kmp有next和nextval的C语言,KMP模式匹配算法中next和nextval的求解(轉)
  10. Flash对联广告及关闭按钮的制作
  11. 博德之门联机等待服务器响应,《博德之门》系列疑难解答
  12. VLAN-TAG超经典解释
  13. 现代信息检索——布尔检索
  14. 计算机 蓝牙鼠标卡顿,蓝牙鼠标卡顿、漂移现象的解决方法
  15. vue-router路由防卫
  16. java手机号中间4位使用*替换
  17. 计算机的问卷调查的题目,新颖的调查问卷的题目
  18. Mybatis一对多和多对一联系处理
  19. Java 获取Word中的所有插入和删除修订
  20. egg框架结合egg-sequelize 建立数据库链接

热门文章

  1. 白话大数据--Hash分片
  2. 简述74HC595功能
  3. 华为交换机 查ip冲突_交换机查找IP冲突
  4. Live Streaming
  5. 数据结构WSADATA
  6. php单引号的作用,PHP中单引号和双引号的区别与作用
  7. mzy git学习,git推送到远程库(八)
  8. linux xdg open 安装,xdg-open默认应用程序行为
  9. html的视频字幕制作步骤,十大字幕制作软件
  10. MyBatisPlus关键字查询