1、实验目的

掌握七数码管显示原理

掌握七段码显示译码设计

进一步熟悉 Xilinx ISE 环境及 SWORD 实验平台

2、实验内容

任务 1:原理图设计实现显示译码 MyMC14495 模块

任务 2:用 MyMC14495 模块实现数码管显示

3、实验过程

任务 1:原理图设计实现显示译码 MyMC14495 模块

1.创建工程并绘制原理图

建立工程 MyMC14495,创建原理图文件 MyMC14495,按原理图绘制

2.原理图仿真

建立基准测试波形文件 MyMC14495,输入仿真激励信号,在 Process 窗口中选择 Simulate Behavioral Model,可以得到仿真波 形图

选择 Create Schematic Symbol,生成符号逻辑图

任务 2:用 MyMC14495 模块实现数码管显示

1.创建工程并绘制原理图

建立工程 DispNumber_sch,创建原理图文件 DispNumber_sch,将 MyMC14495.sym 和 MyMC14495.sch 复制到工程目录下,按原理图绘制。

2.为模块的端口指定引脚分配

建立引脚约束文件 K7.ucf,输入代码,为原理图的每个输入输出 端口分配引脚。

3.生成 bit 文件并下载到开发板

最左边的两个按钮依次是 point 和 LE。从右边第一个开始向左, 依次是输入第一位,输入第二位,输入第三位,输入第四位,右边第 一个 LED 开关,右边第二个 LED 开关,左边第二个 LED 开关,最左边 LED 开关。以下按照从最左边 LED 开关开始,从左向右的顺序,如: 1111 0001 表示 4 盏灯都亮,显示数字为 1。至于 point 和 LE 单独说明。 以下是实验结果:

4、实验结果

这里需要说明译码不是转换。译码:十进制的13对应的BCD码是0001 0011,而转换:十进制的10对应二进制的1010

七段译码管是将要显示的数字翻译成七个数码管显示的开关命令。比如C,用共阳控制,就是a,d,e,f 为 0,其余为 1,所有对应的abcdefg就是0110001,对应的十六进制数字就是31,也就是说译码器将C翻译成了31(这个最后的实验会用到)

这里有一个for循环需要说明,其中{D3,D2,D1,D0}=i 这一行,可以看到i是从0到15依次增加的,这里直接赋值就可以了……(当时卡了好一会)

6、七段数码管显示译码器设计与应用相关推荐

  1. 七段LED数码管显示译码器设计

    包含按键输入并显示模块,输入为四位16进制信号,同步在led数码管显示,如果没有输入,则自动脉冲计数 module led(comscan,clr,k,kon,kc,o,comscanout); in ...

  2. FPGA基础知识极简教程(9)七段数码管显示的Verilog简单设计

    博文目录 写在前面 正文 七段数码管原理 七段数码管译码表 单个七段数码管显示verilog设计 多个数码管动态扫描显示 参考资料 交个朋友 写在前面 作为FPGA的基础知识教程怎么能少得了这个简单的 ...

  3. FPGA _Verilog HDL_十六进制7段码显示译码器设计实验

    一.题目 十六进制7段码显示译码器设计实验. 二.源代码 说明:本实验使用的是共阴数码管. //---------------------------------------------------- ...

  4. Logisim之4位全加器实现以及七段数码管显示

    Logisim之4位全加器实现以及七段数码管显示 文章目录 Logisim之4位全加器实现以及七段数码管显示 一.基本构成 二.构建四位全加器 1.构建一位全加器 2.通过一位全加器构建四位全加器 三 ...

  5. BCD-七段数码管显示译码器

    目的 1. 进一步掌握VHDL语言的基本结构及设计的输入方法. 2. 掌握BCD-七段显示译码器的设计思路: 内容 1. 使用拨码开关SW3.SW2.SW1.SW0作为四位二进制数据 D.C. B.A ...

  6. 用七段数码管显示学号python_用七段数码管显示学号python

    单片机问题:在七段数码管上显示自己的学号后面六请分享大神写答案是啊,从今往后,那就好好过吧.辈子也就是几年,万次的寻寻觅觅翘首以望,等的无非是这刻身边紧紧相拥的个人.辛夷坞<山月不知心底事> ...

  7. python七段数码管显示字母代码_python实现七段数码管显示

    原博文 2020-08-08 21:21 − [TOC] # python实现七段数码管显示 > 运行原理:如图所示,七段数码管由七个线条组成,可以有固定顺序(1--7),不同数字显示不同的线条 ...

  8. 【51单片机】七段数码管显示实验+详细讲解

    [51单片机]七段数码管显示实验 前言 一.兵马未动,粮草先行--认识七段数码管 1.关于显示器 2.七段数码管 3.七段数码管的结构 4.数码管显示方法 二.数码管显示程序 1.静态显示 效果 2. ...

  9. (原创)LEON3入门教程(四):基于AMBA APB总线的七段数码管IP核设计

    摘要:这一小节将介绍下如何设计用户自定义的APB IP,并将IP嵌入到SOPC中去.一个APB IP核的主要分为三个部分:逻辑单元.寄存器单元和接口单元.所设计的IP是一个简单的七段数码管显示IP,只 ...

最新文章

  1. goland设置goroot_解决GOPATH在GOLAND中的坑
  2. 科学家打造全套人工神经系统 帮助瘫痪病人重新控制身体
  3. 前端程序猿必知:单页面应用的核心
  4. debug命令_Python 必备 debug 神器:pdb
  5. 小心!智能音箱正在监听你?| 极客头条
  6. Understanding Unix/Linux Programming-事件驱动编程:编写一个视频游戏
  7. Dell’Oro 5年期数据中心报告预测25G/100G端口速率市场快速上升
  8. 异步分段处理海量数据
  9. 【C++_typedef_疑难定义收集整理】
  10. java生命游戏_大神们 急求一个生命游戏的java代码 谢谢各路大神!
  11. 【“新智认知”杯上海大学联赛】D-CSL的字符串(贪心)
  12. 一元多项式的带余除法
  13. 清理电脑垃圾的七个BAT文件
  14. setlocale()函数的作用
  15. field方法的用法
  16. 关于word中的DDE如何查看
  17. win10服务器网页打不开怎么办,win10系统浏览器网页打不开的解决技巧
  18. python爬app西瓜视频_Python爬虫工程师面试题,采集头条西瓜视频
  19. php 2038年,php如何取2038年后的时间戳|php时间日期处理到2038年之后该怎么办 - PS下...
  20. 在线K歌又现新模式 音遇APP能否站稳脚跟?

热门文章

  1. 【强迫症系列】【win】更改 Python 的 pip install 默认安装依赖路径
  2. html转换成pdf在线转换器,pdf转换成HTML转换器
  3. pytorch 一个 Tensor的 is_leaf requires_grad 两个属性同时 为 True 才会保存 grad
  4. Mindjet MindManager思维导图使用技巧
  5. Linux安装arm交叉编译器
  6. oracle rac启停数据库,RAC启停全过程
  7. 辞职的时候,如果老板挽留你,你会怎么办呢?
  8. java JLabel改变大小后如何刷新_java实习面经整理
  9. java仙侠回合制单机游戏_‎永生劫-单机仙侠回合制RPG游戏 on the App Store
  10. android 调用相机拍照。适配到 Android 10