#先上电,再点击  “开始执行”; 
tclear
after 3000
msend "12345" "xxxx:"
after 2300
tsend "xxxxx"
after 1000
tsend "run xxxx"
after 32000
msend "12345" "/ #"
after 3000
tsend "Haha2~~"
after 3000
tsend "mount /dev/xxx /xxxx/"
after 2000
tsend "cp /usb/xxxx/xx.img /flash/certified/xx.img" 
after 59000
tsend "umount /usb/"
after 900
tsend "umount /flash"
after 29000
tsend "reboot"

说明:

1).msend "12345" "xxxxx:"

向串口输入12345,然后等串口回显“xxxxx:”后,再进行下面的指令,否则一直等待“xxxxx:”.

参考:https://wenku.baidu.com/view/9e30b955f6ec4afe04a1b0717fd5360cbb1a8d5c.html

参考:https://wenku.baidu.com/view/beb4726225c52cc58bd6bed0.html

lesson9 :for循环的学习

for {puts "Start"; set i 0} {$i < 2} {incr i; puts "I after incr: $i"; } {
puts "I inside first loop: $i"
}

//和c一样 有三个条件

;# Because the test is evaluated before the body,
;# this loop won't execute the body of the code.

for {puts "Start"; set i 3} {$i < 2} {incr i; puts "I after incr: $i"; } {
puts "I inside second loop: $i"
}
//不符合条件 直接结束For循环

;# A while loop equivalent to the first for loop:

puts "Start"; set i 0;
while {$i < 2} {
puts "I inside first loop: $i"
incr i;
puts "I after incr: $i";
}

//while 中的 incr用法

==两种for循环的写法
for {set i 0} {$i<=10} {incr i} {#i默认增量是1,即等价incr i 1。注意这个反括号一定要写在这行行末:args: should be "for start test next command"
............
.............}

Q:能不能改为i为我指定的几个数就好。比如我指定i为 3 5 6 7 9这几个数? 谢谢。
foreach  i { 1 3 5 7 9 } {
    puts "$i"
}

参考:https://blog.csdn.net/wjciayf/article/details/54408819

参考:https://blog.csdn.net/qq_41661593/article/details/104018467?utm_medium=distribute.pc_relevant.none-task-blog-BlogCommendFromMachineLearnPai2-3.channel_param&depth_1-utm_source=distribute.pc_relevant.none-task-blog-BlogCommendFromMachineLearnPai2-3.channel_param

if else、switch、数组/列表的遍历、子程序/函数的定义和调用、文件的读写等基本语法使用

IPOP下的tcl脚本使用相关推荐

  1. 搭建属于自己的数字IC EDA环境(五):搭建FPGA自动化环境(Linux下vivado Tcl脚本自动化开发),业余IC设计流程与通路

    1.简述 一个完整的IC EDA环境也不能缺少了FPGA,FPGA原型验证是IC设计流程中重要的一环.一个芯片从设计到流片需要投入大量的人力.财力以及很长的研发周期,如果流片失败,对于公司来说是一笔很 ...

  2. Orcad下使用TCL脚本自动生成导线、网络标号和off page

    Orcad是一个很优秀的原理图工具,但是手工画批量导线和网络标号的时候(比如:RGB的信号线,DDR/FLASH的地址线),比较麻烦,修改也麻烦.所以这里介绍了使用TCL脚本自动生成导线.网络标号和o ...

  3. 【 Vivado 】工程模式下运用Tcl脚本示范

    以下是一个示例脚本,用于创建项目,添加各种源,配置设置,启动综合和实现运行,以及创建比特流. # Typical usage: vivado -mode tcl -source run_bft_pro ...

  4. 使用TCL脚本读取配置文件

    文章出处:51testing 作者:叶晖 兰海 发布时间:2006-03-17 摘 要:unix下使用TCL脚本读取配置文件:错误处理. 关键词:TCL.配置文件.unix 一.应用范围 在实际工作中 ...

  5. 如何实现对tcl脚本的类GDB调试

    debug TCL script with free tools 面临的问题 tcl脚本被广泛使用于EDA工具中,像Cadence, Synopys和mentor的工具脚本都是tcl脚本,可以在里面嵌 ...

  6. tcl把文本产生html,TCL脚本数据文件格式(译文)

    TCL脚本数据文件格式 简介 一个典型的tcl脚本把它的内部数据保存在列表和数组(tcl中两种主要的数据结构)中.比如,假定你想写一个能将数据先保存在磁盘上,然后再读取的tcl应用程序, 这将使你的用 ...

  7. TCL脚本语言基础介绍

    Tcl简介(一):Tcl 语法 Tcl 语法 Tcl是一种很通用的脚本语言,它几乎在所有的平台上都可以释运行,其强大的功能和简单精妙的语法会使你感到由衷的喜悦,这片文章对 Tcl有很好的描述和说明.如 ...

  8. Quartus16.0如何使用TCL脚本

    前言 TCL脚本语言在EDA工具中使用频繁,本文主要介绍使用TCL脚本文件进行引脚分配,避免手动分配以及分配出错: 流程 1.准备好你的TCL脚本文件,举个栗子(脚本文件内容): 2.在Quartus ...

  9. EDA实验课课程笔记(四)——TCL脚本语言的学习2

    EDA实验课课程笔记(四)--TCL脚本语言的学习2 控制流 if 循环命令 while for foreach break和continue命令 switch source 过程(procedure ...

  10. EDA实验课课程笔记(三)——TCL脚本语言的学习1

    本文参考资料为<Tcl语言教程>,感谢作者的分享,这里仅仅作为简单常用语法的入门,若有需要后期对本文进行添加补充. EDA实验课课程笔记(三)--TCL脚本语言的学习 前言(TCL综述) ...

最新文章

  1. 借东西的小人阿莉埃蒂
  2. 乐鑫代理启明云端分享|ESP32驱动1.54inch(240*240)彩屏
  3. ABAP Netweaver Authorization trace tool
  4. 使用原生js写ajax
  5. 看了就彻底明白人生!!!
  6. vue改变标签属性_Vue用v-for给循环标签自身属性添加属性值的方法
  7. matplotlib之legend图例和标注(笔记三)
  8. asp 禁止某一个目录_asp.net core 系列 10 配置configuration (上)
  9. Fedora和Red Hat Enterprise Linux实用指南(第6版)(套装上下册)火热上市!!!!
  10. .NET Core2.0 使用EF做数据操作
  11. 华为回应申请大量“鸿蒙”商标;5G第一个演进版本标准正式完成;SUSE 收购 Rancher Labs| 极客头条
  12. mysql注入如何读取本地文件_如何通过SQL注入获取服务器本地文件
  13. word论文排版插件_这是我见过最强大的Word插件!论文、报告、标书、规范全搞定...
  14. python教程视频 网盘-Python最新全套视频教程百度网盘资源
  15. 如何免费使用office软件?
  16. 小学生数学测试软件论文,测试数学论文,关于小学生数学基本能力测试量表的贵族常模制订相关参考文献资料-免费论文范文...
  17. Java大型CRM客户管理系统源码 带小程序 CRM小程序源码
  18. 期货发展的几个重要时间点和事件
  19. MySQL高级篇——事务
  20. 游戏智能中的AI——从多角色博弈到平行博弈

热门文章

  1. ios查看帧率的软件_软件测试之性能测试(ios)——获取fps(流畅度)
  2. 加密-网络安全之1号皇帝新衣
  3. RTCM 协议数据解析
  4. 【SOEM主站】一、SOEM主站环境搭建及连接板子测试
  5. (已更新)视频app小程序模板源码
  6. 电源管理总线 (PMBus)
  7. 毕业论文格式修改方法
  8. Mybatis逆向工程(代码生成器)及其简单使用——及其报错处理
  9. ssm-学子商城-项目第六天
  10. VMware visio制图形状大全