以下是一个示例脚本,用于创建项目,添加各种源,配置设置,启动综合和实现运行,以及创建比特流。

# Typical usage: vivado -mode tcl -source run_bft_project.tcl
# 创建项目和目录结构
create_project -force project_bft_batch ./project_bft_batch -part xc7k70tfbg484-2
#
# 向项目添加各种源
add_files {./Sources/hdl/FifoBuffer.v ./Sources/hdl/async_fifo.v \
./Sources/hdl/bft.vhdl}
add_files -fileset sim_1 ./Sources/hdl/bft_tb.v
add_files ./Sources/hdl/bftLib/
add_files -fileset constrs_1 ./Sources/bft_full.xdc
#
# Now import/copy the files into the project
import_files -force

#
# Set VHDL library property on some files
set_property library bftLib [get_files {*round_*.vhdl core_transform.vhdl \
bft_package.vhdl}]
#
# Update to set top and file compile order
update_compile_order -fileset sources_1
update_compile_order -fileset sim_1
#
# Launch Synthesis
launch_runs synth_1
wait_on_run synth_1
open_run synth_1 -name netlist_1
#
# Generate a timing and power reports and write to disk
# Can create custom reports as required
report_timing_summary -delay_type max -report_unconstrained -check_timing_verbose \
-max_paths 10 -input_pins -file syn_timing.rpt
report_power -file syn_power.rpt
#
# Launch Implementation
launch_runs impl_1 -to_step write_bitstream
wait_on_run impl_1
#
# Generate a timing and power reports and write to disk
# comment out the open_run for batch mode
open_run impl_1
report_timing_summary -delay_type min_max -report_unconstrained \
-check_timing_verbose -max_paths 10 -input_pins -file imp_timing.rpt
report_power -file imp_power.rpt
#
# Can open the graphical environment if visualization desired
# comment out the for batch mode
#start_gui

TIP: You can break up a line in your Tcl script using the backslash (\) character at the end of a line to indicate the line continuation. The line that follows the backslash is processed as part of the preceding line.

【 Vivado 】工程模式下运用Tcl脚本示范相关推荐

  1. vivado 如何创建工程模式_用Tcl定制Vivado设计实现流程

    原标题:[Vivado使用误区与进阶]用Tcl定制Vivado设计实现流程 上一篇<Tcl在Vivado中的应用>介绍了Tcl的基本语法以及如何利用Tcl在Vivado中定位目标.其实Tc ...

  2. 【 Vivado 】在工程模式下通过jou文件来学习 Tcl 命令

    Xilinx 的数据手册UG895提供了一些系统级设计的方法,写得很详细,详细到得不到重要的消息(我菜). Tcl命令在工程模式下以及非工程模式下有一些差异,具体什么差异,这里暂时不说,后面我想应该会 ...

  3. 无招胜有招-Vivado非工程模式下的FPGA设计流程

    参考:UG892 UG835 Vivado集成开发工具为设计者提供了非工程模式下的FPGA设计流程.在Vivado非工程模式下,FPGA开发人员可以更加灵活地对设计过程的每个阶段进行控制,从而进一步提 ...

  4. 工程模式下操作手机系统

    按"音量下+电源"进入工程模式(Meta mode),连接USB数据线,启动ADB: C:\adb>adb shell <取得管理员权限> $ su su < ...

  5. Orcad下使用TCL脚本自动生成导线、网络标号和off page

    Orcad是一个很优秀的原理图工具,但是手工画批量导线和网络标号的时候(比如:RGB的信号线,DDR/FLASH的地址线),比较麻烦,修改也麻烦.所以这里介绍了使用TCL脚本自动生成导线.网络标号和o ...

  6. LG WP7机型工程模式下越狱

    LG机型 1.电话界面输入# # 634 #进工程模式,密码277634 # * # 进MFG,点enter       2.选择Engineer Menu (7)-> Other Settin ...

  7. 【ValidationTools】去除工程模式下某项测试

    进入相应页面 要求去掉ItemTest中的GPSTest选项 Adb logcat | grep "START u0"命令,查看启动的activity的log 在ItemTestA ...

  8. 基于Tcl脚本生成Vivado工程及编译

    Tcl脚本简介 Tcl--Tool Command Language(读作tickle),诞生于80年代的加州大学伯克利分校,作为一种简单高效可移植性好的脚本语言,目前已经广泛应用在几乎所有的EDA工 ...

  9. 【懒人专用】Python实现Modelsim独立仿真Vivado工程的自动化脚本

    引言 有两种方法可以使用 Modelsim 对 Vivado 工程进行仿真,即级联仿真和独立仿真.        级联仿真就是通过设置使 Vivado 调出 Modelsim 仿真界面并启动仿真,这种 ...

最新文章

  1. Reids 的五大数据类型
  2. SpringBoot学习(一)
  3. Map-Reduce编程模型gif图片解释
  4. [转] android 中 pinyin4j的使用
  5. MATLAB数据处理快速学习教程
  6. 推荐:一个VS插件——CopySourceAsHtml
  7. 高精度计算(High-Precision_Calculation)
  8. 消息队列之延时消息应用解析及实践
  9. Java黑皮书课后题第5章:**5.45(统计:计算平均值和标准方差)在商务应用中……编写一个程序,提示用户输入10个数字,然后运用下面的公式,显示这些数字的平均值以及标准方差
  10. 博客园 Facebook风格,您不妨一试^^
  11. 使用Chrome开发者工具研究JavaScript函数的原生实现原理
  12. .NET 深度指南:Colors
  13. Mac安装prometheus node_exporter
  14. Selenium2+python自动化28-table定位
  15. Android 驱动(5)---MTK 平台分区表
  16. 《jQuery Mobile快速入门》—— 1.6 可主题化的设计
  17. windows下软件定时自动重启
  18. apktool+dex2jar+xjad反编译android程序
  19. mvp架构 java_MVP架构基本使用
  20. 用好这 42 款 Chrome 插件,每年轻松省出一个年假

热门文章

  1. 如何建立JSP操作用以提高数据库访问效率
  2. linux 内核调试 booting the kernel.,Uncompressing Linux...done, booting the kernel解决办法
  3. 浙江工业大学计算机学院推免生,浙江工业大学计算机科学与技术学院(专业学位)计算机技术保研...
  4. java 老年代回收_Java垃圾回收之老年代垃圾收集器
  5. ddos攻击python_Python基于http的ddos攻击代码
  6. 两个nb模块之间通讯方法_NB-IoT是什么?一口气带你搞懂NB-IoT的诞生、特性以及应用...
  7. linux查看文件隐藏字符,Linux使用cat命令显示隐藏字符的方法
  8. mysql 数据库引擎切花_asyncio异步编程【含视频教程】
  9. 华中科技大学计算机组成计算机数据表示实验(hust)平台_华中科技大学各院系2020年博士研究生“申请考核”制拟录取名单公示...
  10. directx修复工具win7_教你安装双系统,win7+win10