在看FPGA Cyclone IV器件手册时遇到的存在两种I/O端口,搜索一下方便自己方便他人。

【模拟信号的输入检测方式:Single-ended模式和Differential模式的区别】

Single-ended输入模式

Differential输入模式

硬件信号线连接方式

对于每一个信号源,都有一根线,连接到你所用到的数据采集接口上。

两根信号线,连接对应的信号源。每一根接到对应的输入端,比如a+和a-。

数据采集方式

测量信号与地(ground)之间的差别

有两个高阻抗的功率放大器,检测输入端与接口地端之间的电压。

还有第三个功率放大器,用来算出前两个功放所测得的电压,即a+和a-,之间差值。

这样就排除了两者之间公共的电压所带来的影响。

用此法的前提

(1)信号线是接地的

(2)采样设备的ground和信号源的ground是相同的(值)

缺点

(1)地电平差异:一般设备是认为ground是0V的常量,但是实际上,不同的位置,常有不同的电平。两者位置越接近,电平越接近于相同。但是如果将两者的地连接在一起的话,电平差值会导致一个大电流,即接地回路。这会导致在使用Single-ended模式输入的时候会出错。

(2)噪音错误:Single-ended模式输入对于噪音错误很敏感。噪音,即非期望的信号组合。由于信号线就像天线,会捕获环境电子活动,导致了噪音的产生。而对于Single-ended模式,是无法区分噪音和实际信号的。

使用differential输入,可以解决接地和噪音的问题。

(1)信号浮空:使用差分模式最常见的一个问题就是忘了将某个连接接地,即浮空。例如电池供电的设备和热电偶没有接地的连接。例如,你可以在+和–输入之间接上一个电池。然后两个输入放大器会去监视+到地的电压和–到地的电压。然而,由于没有电池和地之间没有连接,这些测得的电压可能是任何的值,也许就就会超过放大器的处理范围。

对于这些浮空的信号源,应当提供一个参考源。

比如Microlink有一个标示为0V的插槽。从“–”线上连一个连到这个0V的插槽,或者直接通过一个电阻相连,即可解决此问题。而如果你的信号本身是自接地的,那么就不需要接这个0V了。

(2)三个用来差分输入检测的放大器,总称为设备放大器。如前所述,理想情况下,对于两根线共有的电压,都可以因差分计算而被消除掉。而实际上,两个输入放大器,并不能完美的互相匹配,因此对于公共电压,多少会出现一些差别的。对于设备放大器接近于理想情况的程度大小,可以表述为共模抑制比,单位是分贝。此参数越高越好。

另外需要考虑的一点是,公共电压的范围,即放大器所能处理的最大的公共电压,如果环境电压超过此阈值,那么测量结果就不准确了。(你的硬件操作范围也许可以设计的比公共电压范围更大,但是操作电压范围只能保证你的硬件不会被损坏,却不能保证一定能正常工作。)

(3)差分输入需要更少的信号?

差分输入和Single-ended输入相比,有一个显而易见的缺点:你需要两倍数目的线,然后你才可以连接到一半数目的信号。如果你只有更短的信号线,信号线之间更近,信号大于100mV的话,经过评估,觉得用Single-ended输入,对你也是OK的,这时,你可以在Single-ended输入模式中使用差分输入模式。具体做法是,短路其中一根信号线(通常是短路输入端)接到V输入上。这样的话,差分输入,就可以提供两种模式任你选了。

优点

解决了Single-ended模式所具有的问题,即,(只要他们的电压不是太大,而使得功法无法处理的话)使用此法测得的值,是与接地无关的。

同样地,此法中,两个信号线,如果有噪音,那么也是相同的,而做了差值后,也就消除了,减去了噪音的干扰。

单端(Single-Ended)模式与差分(Differential)模式的区别相关推荐

  1. 单端、伪差分、全差分ADC

    单端.伪差分.全差分ADC 目录 单端.伪差分.全差分ADC 单端信号 差分信号 > 伪差分 > 全差分 单端信号 --single-end 单个信号输入,参考端为地 差分信号 --dif ...

  2. VIVADO的差分转单端IBUFDS的使用

    VIVADO的差分转单端IBUFDS的使用 pp_0604 2020-07-06 11:43:47 1883 收藏 8 分类专栏: 笔记 版权声明:本文为博主原创文章,遵循CC 4.0 BY-SA 版 ...

  3. 单端信号和差分信号区别

    单端信号和差分信号区别 单端信号和差分信号区别 差分信号介绍 差分信号优点 单端信号和差分信号区别 一.基本区别 二.传输上的差别 总结 参考来源 单端信号和差分信号区别 差分信号介绍 差分传输是一种 ...

  4. 牛人精辟辨析单端信号和差分信号

    牛人精辟辨析单端信号和差分信号 21ic电子网 昨天 单端信号 单端信号是相对于差分信号而言的,单端输入指信号有一个参考端和一个信号端构成,参考端一般为地端. 差分信号 差分传输是一种信号传输的技术, ...

  5. 理解单端,全差分、伪差分

    单端信号: 单端信号(single-end)是相对于差分信号而言的,单端输入指信号有一个参考端和一个信号端构成,参考端一般为地端. ADC单端输入 比如说UART232串口中,发送端TXD,接收端RX ...

  6. 串口输出5v电压_为什么RS485比串口速度快距离远?--谈单端信号与差分信号之差异...

    嵌入式系统中,串口.RS485.CAN.网络和USB等都是非常常用的通信方式.但是串口通信速度慢,距离近,为什么转换成RS485后,通信距离和速度都大幅提高了呢?USB也是近距离,为什么速度可以这么快 ...

  7. 差分信号_形象解读差分信号,它比单端信号强在哪?

    一个差分信号是用一个数值来表示两个物理量之间的差异.从严格意义上来讲,所有电压信号都是差分的,因为一个电压只能是相对于另一个电压而言的. 电子学习资料大礼包​mp.weixin.qq.com 一个差分 ...

  8. (29)FPGA原语设计(差分时钟转单端时钟)

    (29)FPGA原语设计(差分时钟转单端时钟) 1.1 目录 1)目录 2)FPGA简介 3)Verilog HDL简介 4)FPGA原语设计(差分时钟转单端时钟) 5)结语 1.2 FPGA简介 F ...

  9. (30)FPGA原语设计(单端时钟转差分时钟)

    (30)FPGA原语设计(单端时钟转差分时钟) 1.1 目录 1)目录 2)FPGA简介 3)Verilog HDL简介 4)FPGA原语设计(单端时钟转差分时钟) 5)结语 1.2 FPGA简介 F ...

最新文章

  1. 只需 5 分钟看完这篇 HTTPS,去阿里面试和面试官扯皮就没问题了!
  2. Vivado无法双击打开xpr工程文件的解决办法
  3. struts2找不到action_第一次用上Struts2框架做Web开发的体验……
  4. 文本留言 php,一个php作的文本留言本的例子(一)
  5. Batch Size理解
  6. linux:shell:tree
  7. 荣耀鸿蒙系统内测,官宣!荣耀 Magic UI 4.0 与 EMUI 11 同步内测:后续支持升级为鸿蒙操作系统...
  8. 在计算机回收站中可以恢复,电脑回收站删除了怎么恢复吗
  9. 实习学习:项目用的DDD,什么是DDD开发?
  10. excel中indirect函数使用方法和应用实例
  11. ecshop一键购物
  12. pycharm方法中划线解决方法
  13. Combating Web Spam with TrustRank的实验
  14. 高可用架构演进之单元化
  15. 试着在unity实现阴阳师抽卡效果
  16. 【历史上的今天】5 月 16 日:MacBook 上市;计算机图形学之父出生;笔记本电脑首次搭载 HD DVD
  17. nodejs计算时间间隔_Js时间间隔计算的函数(间隔天数)
  18. Apple Pay线上支付的流程和app应用内接入的方法
  19. Map相关、HashMap
  20. 全体自然数的和是负十二分之一?

热门文章

  1. 吐血奉献精心整理的一大波数据集
  2. 关于canvas.toDataURL 在iOS运行失败的问题
  3. Maven下载与安装以及Maven环境变量配置
  4. 6岁小男孩舍身救妹 下巴被马咬掉(图)
  5. 【网络篇】第十六篇——再谈端口号
  6. 摩托罗拉艰难归来:如何成为苹果世界的颠覆者?
  7. 如何使用 Kindling 观测 Kubernetes 应用网络连接状态
  8. GDOI2018 游记
  9. 自定义LLDB命令实战
  10. 微信小程序移植qq小程序