数电实验一 离散卷积的C语言编程.ppt

实验一 离散卷积的C语言编程实验,DSP实验室,实验性质,综合设计性实验,实验目的,1 了解和认识常用的各种信号; 2 掌握卷积的定义和计算方法; 3 掌握在计算机中生成以及绘制信号序列图的方法。,实验原理,离散时间系统中几种常用信号序列 (1)单位冲激序列,实验原理,(2)单位阶跃序列,实验原理,(3)矩形序列(门序列)RNn,实验原理,(4)指数序列 实指数序列 复指数序列,实验原理,(5)正弦序列,实验原理,离散卷积运算,也称之为卷积和运算,是求解离散时间系统输出响应的一种重要和有效的方法。 定义为实验内容,1、用C语言编程产生以下时间序列信号 1(n)n u(n) 0n31 2(n)an u(n) 0n31, 0a1 3(n) RN(n) 0n31 产生自己欲实现的一个任意序列 (参考数字信号处理实验教程69页序列xanAe-anTsinw0nTun, 0n31 )。,实验内容,2、 用C语言编程实现 y1(n)1(n)3(n) y2(n)2(n)3(n) 并在显示器上观察到1(n)、3(n)和y1(n)、y2n四个相应序列。,实验内容,3、 用C语言编程实现任意离散序列与门序列RN(n)的线性卷积。 设门序列是一个系统的单位采样响应,求系统的输出,其长度在256点以内。,实验内容,4 、要求用菜单操作实现序列输入、显示输出,用数组和序列两种显示形式。,扩展实验,1.在生成信号2(n)an u(n) 0n31, 0a1时,参数a取不同数值,绘制2(n)序列图,观察并分析信号波形。,扩展实验,1 的傅里叶变换为 编程绘制实现幅频特性曲线( )。,扩展实验,2.分析采样序列的特性。 a取采样频率1kHz ,即T1ms 。观察所得采样 的幅频特性 。应当注意,实验中所得频谱是用序列 的傅氏变换公式求得的,所以在频率度量上存在关系 为数字频率, 为模拟频率。 b改变采样频率, 300Hz ,观察 的变化,并做记录(打印曲线);进一步降低采样频率, 200Hz ,观察频谱混叠是否明显存在,说明原因,并记录(打印)这时的曲线。,实验结果,a0.5,实验要求,1 实验前,自己编制C语言程序。 2 实验中,自己调试程序,并保存信号波形,分析屏幕绘制的各种信号是否正确,同时准备验收时回答老师提出的各方面相关问题。 3 实验后,撰写实验报告和心得体会。 4 参考数字信号处理实验教程第四章(基础理论实验)。,实验程序,include include include include “msp.h“ void mcmpdftcomplex x,complex y,int n,int isign /* Routinue mcmpdft Directly to Compute the DFT/IDFT of Complex Data xn By DFT definition; in chapter 3. If ISIGN-1 For Forward Trans; ISIGN1 For Inverse Trans. in chapter 3 */ complex t,ts,z; float pi2; int m,k; pi28.*atan1.; t.real0.;t.imagisign*pi2/n; ts.real0.0;,form0;mn;m ymx0; fork1;kn;k ts.imagt.imag*k*m; zcexpts; ym.realxk.real*z.real-xk.imag*z.imag; ym.imagxk.real*z.imagxk.imag*z.real; ifisign1 ym.real/n; ym.imag/n; ,

c语言离散卷积编程,数电实验一 离散卷积的C语言编程.ppt相关推荐

  1. (数电实验报告)用Verilog–HDL语言设计一个8线3线优先编码器

    `module encoder8_3(S,I7,I6,I5,I4,I3,I2,I1,I0,Y2,Y1,Y0,YS,YEX); input S,I7,I6,I5,I4,I3,I2,I1,I0; outp ...

  2. 数电实验报告实验一_大二下学期的两三事之数电实验amp;课设

    数电实验&课设 叮 写在前面 这学期的数电实验老师是陈W老师.上学期的模电,选过一次他的课,线下教学,老师讲课游刃自如,点到为止,但又醍醐灌顶:这学期线上教学,可能是软件运用不熟练,他多少有些 ...

  3. 数电实验三 数据选择器及其应用 任务一:用74151芯片采用降维的方法实现F=ABC+ABD+ACD+BCD; 任务二:用74151芯片采用降维方式实现F=BCD反+BC反+A反D;

    数电实验三 数据选择器及其应用 任务一:用74151芯片采用降维的方法实现F=ABC+ABD+ACD+BCD; 任务二:用74151芯片采用降维方式实现F=BCD反+BC反+A反D: 实验数据单

  4. 【数电实验7】Verilog—外星萤火虫

    [2022.05西南交大数电实验] [本代码及波形已通过老师验收.仅供参考.] [参考博客:[数电实验]外星萤火虫设计_难凉oh的博客-CSDN博客] [建议:有些口语化的注释看完删掉比较好哈,怕老师 ...

  5. 数电实验(一)利用与非门设计四舍五入判别电路

    数电实验(一)利用与非门设计四舍五入判别电路 要求: 1.输入为8421BCD码,接四个逻辑电平开关,同时接数码管. 2.输出和LED相连. 一.写出逻辑函数: F(A,B,C,D)=∑m(5,6,7 ...

  6. 数电实验(三)利用3线-8线译码器74LS138和与非门设计一个表决电路

    数电实验(三)利用3线-8线译码器74LS138和与非门设计一个表决电路 要求: 设计一个表决电路, 当控制端M=0时,输入端A.B.C一致同意时,输出F为1,否则输出为0:当控制端M=1时,输入端A ...

  7. 数电实验4:彩灯控制器设计

    数电实验4:彩灯控制器设计 一.实验目的 二.实验内容 三.预习要求 四.实验报告要求 五.Verilog代码.RTL视图及仿真波形 1.Verilog代码 2.RTL视图 3.仿真波形 西南交大数电 ...

  8. 数电实验(四)利用集成数值比较器74LS85设计一个4位数值比较器

    数电实验(四)利用集成数值比较器74LS85设计一个4位数值比较器 要求: 1.输入为两个4位二进制数,分别接四个逻辑电平开关,同时接数码管 2. 输出和LED相连 Multisim仿真:

  9. [数电实验]外星萤火虫设计

    [数电实验]外星萤火虫设计 文章目录 [数电实验]外星萤火虫设计 题目描述 电路要求 题目分析 解决方案 代码 仿真 题目描述 在遥远的 Mars星上有一种类似地球萤火虫的生物 星上有一种类似地球萤火 ...

最新文章

  1. Redis亿级数据过滤和布隆过滤器
  2. ImportError: cannot import name 'AccessCalendar'
  3. 7-20(排序) 奥运排行榜(25 分)
  4. 在Linux下安装配置Oracle11g R2
  5. ASP.NET Core静态文件处理源码探究
  6. 没错!现在搞 Python 越来越难了!!
  7. Django模板语言相关内容
  8. 第三次大作业-作业准备
  9. 在小榕的论坛看的一篇关于DOS批处理命令的文章
  10. 基于C语言开发的教师管理系统
  11. SNE T分布 t-SNE数据降维与可视化
  12. 【项目实战-MATLAB】:基于MATLAB的车牌识别系统(GUI界面+库外识别+计时计费+语音播报)
  13. html+css实现静态小米商城界面的学习感受与收获
  14. 阿里云服务器被挖矿程序侵入问题
  15. 椭圆机的减肥效果怎么样
  16. Hacking Tools搜罗大集合
  17. BUUCTF msic 专题(120)[QCTF2018]X-man-A face
  18. Word中表格相关的操作
  19. svn访问版本库时一直提示: please wait while the repository browser is initializing
  20. 21 张图总结我的 2020 年

热门文章

  1. JSP电影院在线订票系统JSP电影购票系统JSP电影票预订系统JSP电影院管理支持在线选座
  2. 手机中geetest是什么文件_安卓文件隐藏精灵 — 隐藏手机中的小秘密
  3. 图形加速卡技术 [专业的基础技术文章]
  4. CAD牙孔lisp_分解vlx - AutoLISP/Visual LISP 编程技术 - CAD论坛 - 明经CAD社区 - Powered by Discuz!...
  5. 【selenium问题解决】解决webdriver仅支持Chrome版本为92及之前版本的问题
  6. ffmpeg视频音频处理
  7. Rancher集群化docker管理平台部署、特性及破坏性测试。
  8. ushort mysql,Mysql的CodeFirst:不支持ushort、uint、ulong的字段提示不足
  9. 呼和浩特民族学院计算机专业编号,2019呼和浩特民族学院专业排名
  10. 利用Web Audio API将振动数据转化为音频数据并播放