大三的冬季学期选了工程教育中级,上的内容是fpga相关的东西,目前课程已经上了一大半,进入到大作业项目阶段,上的知识点不是太多,主要还是普及教育为主,很多东西还是需要自己课下花时间认真地自学。写过几个认为还可以拿出来的例子,希望有时间可以一一分享出来供大家参考借鉴,如有错误还请多多指正。

这次主要选择流水灯作为自己在FPGA方面的第一篇博客,很多人学习单片机、stm32抑或是FPGA都会有点灯相关的实验,这个流水灯实现的代码也比较简单。

说明:这个实验我是在学校发的通信原理课程项目板子上写的,板子的型号是MAX10 —10M50DAF484C7G,不同的板子在接口上会有一定的区别,还请注意。

流水灯实现代码

module flow_led(input clk_50,input rst_n,output reg [9:0]led
);
reg [24:0]counter;// 初始化
initialbeginled <= 10'b1111111111;counter <= 25'd0;end// 计数
always @ (posedge clk_50 or negedge rst_n)
beginif(!rst_n) begincounter <= 25'd0;end else if(counter == 25'd24999999) begin // 0.5秒换灯counter <= 25'd0;end else begincounter <= counter + 25'd1;end
end// 移位
always @ (posedge clk_50 or negedge rst_n)
beginif(!rst_n) beginled <= 10'b1000000000;  // 初始亮一个灯,便于循环展示end else if(counter == 25'd24999999) begin  // o.5秒换灯led[9:0] <= {led[8:0],led[9]};  // 拼接移位end else beginled <= led;end
end
endmodule

编译界面

注:当只用检查语法基本问题的时候不需要全部编译,可以只Compile那个Analysis & Synthesis,因为全部编译会特别耗费时间,特别是对于更高版本的Quartus II软件,目前这个代码我是在Quartus Prime Standard Edition 16.0版本上编译实现的。全部编译会让人等待个15秒-20秒左右的时间,确实有点烦呀。

引脚配置

具体的引脚配置可以参考每个开发板的引脚说明,这里不再详细叙说。请看下图:
注:如果是第一次配置引脚,退出后需要重新编译才可以把代码和板子接口对应上。

烧录进开发板


注:看到Progress为"100%(Successful)"说明烧录成功,此时就可以看到板子上小灯像流水一样循环点亮了。(具体下载方法不再说明)

FPGA(一):用Verilog实现流水灯相关推荐

  1. 【接口时序】2、Verilog实现流水灯及与C语言的对比

    一. 软件平台与硬件平台 软件平台: 1.操作系统:Windows-8.1 2.开发套件:ISE14.7 3.仿真工具:ModelSim-10.4-SE 硬件平台: 1.FPGA型号:XC6SLX45 ...

  2. 【FPGA】vivado使用(led流水灯实例,附图,详细)

    整理时间:2021-03-08 前言: FPGA型号:XC7Z020-CLG400 vivado版本:2019.1 安装好vivado开发工具,拥有了FPGA开发硬件平台. 本文目录: 1.建立工程 ...

  3. FPGA | 按键、拨码开关控制流水灯实验(附完整代码)

    FPGA 课程设计,果断选择最简单的花式点灯 实验要求 一.设计一个8路流水灯控制电路 二.有多种变化方式: 1)自左向右逐次点亮,或自右向左逐次点亮,到终点后在从头开始: 2)自左向右逐次熄灭,或自 ...

  4. FPGA控制LED流水灯

    FPGA实验二:LED流水灯 ##一.实验目的1.学习LED闪烁原理:2.学习Nexys4-DDR处理器控制LED的闪烁:3.学习如何实现分频. 二.实验要求1.基本:编写单个LED闪烁的代码并下载至 ...

  5. 【FPGA】用Verilog语言实现流水灯实验

    目录 一.模块框图 二.波形图 1.时钟与复位信号 2.计数器 3.脉冲信号 4.输出信号 5.理想结果 三.代码部分 1.Verilog代码 (1)法1:拼接运算符 (2)法2:移位运算符,再取反 ...

  6. FPGA Verilog 串口无限多字节收发+流水灯程序+ModelSim仿真

    工作环境(蓝色粗体字为特别注意内容) 1,软件环境:Windows 7.Quartus II.ModelSim SE.串口调试助手 2,硬件环境:开发板:EP2C5T144C8N核心板.USB Bla ...

  7. FPGA 学习笔记:Verilog 实现LED流水灯控制

    前言 在初步了解 Xilinx Vivado 的使用后,开启了FPGA Hello World 程序:LED 流水灯控制 在嵌入式MCU中,流水灯需要延时来实现,FPGA的延时,使用外部晶振来实现 目 ...

  8. (37)FPGA花样流水灯设计(第8天)

    (37)FPGA花样流水灯设计(第8天) 1 文章目录 1)文章目录 2)FPGA初级课程介绍 3)FPGA初级课程架构 4)FPGA花样流水灯设计(第8天) 5)技术交流 6)参考资料 2 FPGA ...

  9. (98)Verilog HDL:流水灯设计

    (98)Verilog HDL:流水灯设计 1.1 目录 1)目录 2)FPGA简介 3)Verilog HDL简介 4)Verilog HDL:流水灯设计 5)结语 1.2 FPGA简介 FPGA( ...

  10. 学fpga(流水灯)

    [ 声明:版权所有,欢迎转载,请勿用于商业用途. 联系信箱:feixiaoxing @163.com] 从学习方法上说,fpga的学习方面和linux c开发有点相似. fpga linux 语言 v ...

最新文章

  1. Qt设置QLabel的样式
  2. HTML一个form表单中有两个(多个)submit,后台如何区分(纯HTML实现,无需javascript)
  3. 在Apworks数据服务中使用基于Entity Framework Core的仓储(Repository)实现
  4. 工作138:git使用
  5. Spring boot升级到2.3.2.Release和Spring framework升级到5.28.Release踩过的坑
  6. IBM打造云访问量子计算机 规模仅相当于D-Wave系统的四百分之一
  7. item 12: 把重写函数声明为“override”的
  8. 极佳mysql数据库碎片恢复工具,极佳SQL数据库日志恢复工具
  9. Tableau安装教程
  10. java标书_java软件项目投标技术标书【精选】.doc
  11. 打印机驱动无法安装到计算机是,打印机后台程序服务没有运行,打印机驱动无法安装,打印机驱动安装失败-中关村在线...
  12. rman命令之crosscheck
  13. 简述c语言中break的作用,c语言break什么意思?
  14. CPU、内存、缓存的关系
  15. Docsify使用指南,使用Typora+Docsify打造最强、最轻量级的个人团队文档,及免费和开源且低成本文档工具
  16. RT-Thread ——RTC配置
  17. Android技术结构图
  18. 如何利用拼音首字母查询数据库
  19. 恢复【谷歌浏览器开发者工具】默认设置
  20. linux下创建新用户和相关操作

热门文章

  1. 网站服务器在什么地方怎么查,如何查询一个网站所在服务器信息
  2. Problem 1 : Multiples of 3 and 5
  3. 执行shellcode一直提示illegal instruction
  4. U盘灯狂闪,读不到盘
  5. 红米k30pro开发者选项
  6. npm EPERM: operation not permitted, rename解决
  7. bootstrap Less
  8. android jni 读写sd卡,Android NDK的使用实例——增量更新实战
  9. exists和no exists 在sql中的区别
  10. 汽车4G车载TBOX智能终端