工作环境(蓝色粗体字为特别注意内容)
1,软件环境:Windows 7、Quartus II、ModelSim SE、串口调试助手
2,硬件环境:开发板:EP2C5T144C8N核心板、USB Blaster下载器

发现网上的FPGA学习资料多如牛毛,质量参差不齐,最近正好比较闲,于是花了三天时间,写了一个很好的FPGA例程,集成了串口无限字节收发模块、流水灯模块、ModelSim SE仿真脚本等功能模块,非常适合初学者下载作为第一个HelloWorld程序学习。下面来简单的介绍一下这个项目,在文章的最后我会放出下载链接,希望能够抛砖引玉,帮助更多的FPGA爱好者进去FPGA神奇的天地。

1)开发板

2)串口收发

①选择串口号,②设置波特率9600,③校验位选择偶校验即可,发送区随便输入任意长度数据,点击发送即可。

可以看出,效果相当不错,收到什么发送什么,误码率为0。下图为硬件效果(串口有数据的时候LED闪烁):

3)流水灯效果

4)ModelSim仿真界面

5)工程文件以及引脚说明如下:

/** 功能描述:串口接收/发送程序(收到什么发什么)* 开发板:EP2C5T144C8N核心板* System pins:* RST PIN_144* CLK PIN_17 时钟输入(外部50MHz晶振)* LED1 PIN_3 (低电平点亮)* LED2 PIN_7 (低电平点亮)* LED3 PIN_9 (低电平点亮)* * User pins:* Txd PIN_43* Notes:* 波特率 9600* 校验位 奇/偶校验** by Pang 2018.11*/工程文件列表:│  CleanProject.bat
│  uart_top.done
│  uart_top.qsf
│  uart_top.qws
│  uart_top_nativelink_simulation.rpt
│  uart_tx.flow.rpt
│  uart_tx.map.rpt
│  uart_tx.map.summary
│  uart_tx.qpf
│  uart_tx.qsf
│  uart_tx.qws
│
├─db
│      logic_util_heursitic.dat
│      prev_cmp_uart_tx.qmsg
│      uart_top.db_info
│      uart_top.ipinfo
│      uart_top.sld_design_entry.sci
│      uart_top.tmw_info
│
├─output_files
├─simulation
│  └─modelsim
│          uart_top.vt
│
└─srcuart_bps.vuart_rx.vuart_rx_bps.vuart_rx_state.vuart_state.vuart_top.vuart_tx.vuart_work.vwater_led.v

工程打包下载

FPGA Verilog 串口无限多字节收发+流水灯程序+ModelSim仿真相关推荐

  1. FPGA第一天的学习-LED流水灯

    FPGA第一天的学习-LED流水灯 每一次玩一块新的开发板我们都会首先做出一个流水灯,所以我也做出一个流水灯并且结合网上教程和自己的理解来试着将代码理解得更透彻. 1.硬件部分 由原理图我们可以知道时 ...

  2. FPGA零基础学习:LED流水灯设计

    FPGA零基础学习:LED流水灯设计 本系列将带来FPGA的系统性学习,从最基本的数字电路基础开始,最详细操作步骤,最直白的言语描述,手把手的"傻瓜式"讲解,让电子.信息.通信类专 ...

  3. I/0口输入输出实验 流水灯程序 P0、P1、P2、P3口作为输出口,连接八只发光二极管,编写程序,使发光二极管从左至右循环点亮。

    P0.P1.P2.P3口作为输出口,连接八只发光二极管,编写程序,使发光二极管从左至右循环点亮.流水灯程序 程序: #include<reg51.h> //包含单片机定义寄存器的头文件 s ...

  4. 金沙滩51单片机LED 流水灯程序

    我们学了点亮一个 LED 小灯,然后又学了 LED 小灯闪烁,现在我们要进一步学习如何让 8 个小灯依次一个接一个的点亮,流动起来,也就是常说的流水灯.先来看 8 个 LED的核心电路图,如图 4-1 ...

  5. c语言流水灯程序 16,16个发光二极管流水灯程序

    文件: 流水灯16.rar 大小: 32KB 下载:16个发光二极管流水灯程序 作者:流水落花 ORG 0100H LOOP0: MOV A, #0FEH MOV P2, #0FEH MOV R2, ...

  6. msp心形16个闪灯c语言程序,心形流水灯程序

    标签: 心形 心形 流水灯程序 流水灯程序 心形流水灯,是用了10多种不同led流水方式 文档内容节选 includereg52h include intrinsh define uint unsig ...

  7. led流水灯c语言数组程序,LED流水灯程序 一维数组写法

    LED流水灯程序 一维数组写法 /*********** (C) COPYRIGHT 201 2************ * 程序作者: 蓝雨(QQ:983931459 ,Email:chenheng ...

  8. msp430流水灯c语言程序,基于MSP-EXP430F5529开发板流水灯程序

    该楼层疑似违规已被系统折叠 隐藏此楼查看此楼 RT,全部使用到了板载的8个可编程LED组成的流水灯程序,以及液晶的背光,达到了使能亮的东西全都又闪又亮了. //******************** ...

  9. 用keil编写C语言流水灯程序,简述关于Keil、STM32 用C++编写流水灯程序

    通常来说,在单片机上编程,要么汇编,要么C语言,而用C++++进行开发的很少,那么究竟能不能用C++开发单片机呢? 答案是肯定可以的,下面讲讲基于Keil.STM32,用C++编写流水灯程序的一些内容 ...

最新文章

  1. java校园足球管理系统_基于jsp的校园足球管理平台-JavaEE实现校园足球管理平台 - java项目源码...
  2. 使用matlab版卷及神经网络 MatconvNe和预训练的imageNet进行图像检Image retrieval using MatconvNet and pre-trained imageNet
  3. java dao service实例_浅谈Action+Service +Dao 功能
  4. CF623E Transforming Sequence(多项式/倍增fft/动态规划)
  5. 大型网站系统架构实践(一)从简单到复杂
  6. 前端 圆形进度图_Highcharts 圆形进度条式测量图
  7. Java架构师具备的特点有哪些?
  8. webservice4
  9. Matlab安装minGW
  10. cad缩放_CAD常见问题详解,解决你当前的烦恼
  11. CSS3:颜色渐变和重复性渐变
  12. YouTube上的版权保护
  13. qq邮件 外发服务器设置,利用腾讯企业邮箱配置外发邮件服务
  14. 读取dds文件转为opengl纹理
  15. appium元素坐标定位TouchAction
  16. java集合之TreeMap 构造器 方法 比较器
  17. 次世代贴图材质制作的提示和秘籍
  18. EPLAN2022——端子
  19. java+分割+汉字和英文_Java分割中英文,并且中文不能分割一半?
  20. 记录道编程题,估计是python的,用java再写。排序

热门文章

  1. 背景橡皮擦,通道抠图
  2. PAT甲级官网 刷题(1)
  3. OJ题目:悼念512汶川大地震遇难同胞
  4. 5M1E分析法-人机料法环测
  5. 给 python 初学者的四条忠告
  6. 计算2017年11月11日 11时 11分 11秒 距离当前日期的时间差, 并以指定的格式(天/时/分/秒)的形式显示.
  7. 《深度工作》思维导图
  8. Real-time Multiple People Tracking with Deeply Learned Candidate Selection and Person Re-Identificat
  9. 如何在html中添加分享按钮
  10. python个人所得税怎么写分录_缴纳的个人所得税的会计分录怎么写?