一、环境与文件

Linux平台  csh环境
VCS 64bit
代码文件请参考《一个简单的Verilog计数器模型》

二、开始仿真

1、compiler

首先在testbench中加入如下语句:

initial begin
$vcdpluson;
end

命令调用vcs

vcs -full64 -f file.f -debug_pp +vcd+vcdpluson

参数解释

file.f 是你的设计文件索引save image+vcd+vcdpluson产生Synopsys的波形文件之一vpd格式的波形文件

2、simulate

./simv

生成名为vcdpluson.vpd的文件

3、使用dve查看波形

dve –vpd vcdpluson.vpd

VCS建立仿真生成DVE波形相关推荐

  1. matlab 编程波形图,实验一MATLAB编程环境及其常用信号的生成及其波形仿真.doc

    word格式精心整理版 范文范例 学习指导 实验一 MATLAB编程环境及常用信号的生成及波形仿真 一.实验目的 1.学会运用Matlab表示常用连续时间信号的方法 2.观察并熟悉这些信号的波形和特性 ...

  2. Qsys生成simulator时产生的tcl脚本建立仿真

    用Qsys生成simulator时产生的tcl脚本建立仿真的过程: 对QSYS的仿真采用其自己生成的sim文件夹中的tcl脚本,不用自己在do文件中加入IP文件列表了,可以比较快速的建立qsys的仿真 ...

  3. VCS常用仿真选项开关及步骤总结

    转自:https://blog.csdn.net/bcs_01/article/details/79803304 转自:https://blog.csdn.net/wonder_coole/artic ...

  4. vcs+verdi仿真Verilog代码

    我们以一个简单的加法器为例,来看下如何用vcs+verdi仿真Verilog文件并查看波形. 源文件内容如下: //adder.v module adder(input clk,input rst,i ...

  5. 如何用VCS+Verdi仿真Xilinx IP

    文章目录 如何用VCS+Verdi仿真Xilinx IP 1. VCS以及Vivado的版本问题 2. 使用VCS编译Vivado的IP库 3. 使用Vivado工具调用VCS进行仿真 1.新建带有I ...

  6. 基于STM32的MDK软件仿真输出PWM波形

    文章目录 一.PWM相关 1.PWM是什么 2.PWM原理 3.PWM应用 4.PWM信号输出 二.实验相关 1.实验要求 2.实验过程 (1)实验代码 (2)Keil仿真设置 (3)实验结果 三.总 ...

  7. 我的Python脚本——生成任意波形并存为txt

    我的Python脚本--生成任意波形并存为txt 一. 脚本功能 根据采样点数,采样周期数等参数以及波形的数学表达式,生成任意波形 将波形数据转为指定位宽的二进制补码,然后存为txt 绘制原始波形和转 ...

  8. Ubuntu18.04安装VCS、Verdi、dve全套教程亲测(有成功截图)

    通过下面十个步骤,我们就可以在ubuntu上使用vcs.verdi.dve等软件! 下面是成功截图(为证): 一.安装ubuntu系统,这个不在这里讲了,重点安装VCS.Verdi等软件 下面的过程一 ...

  9. VCS编译仿真警告Warning

    VCS编译仿真警告Warning 问题描述 在较大的SOC集成中,通常使用Perl脚本例化子模块到Top层,然而,有时会出现例化出来的输入端口名没有在Top层定义,而且端口的位宽为1bit,那么,ve ...

最新文章

  1. 五大存储模型关系模型、键值存储、文档存储、列式存储、图形数据
  2. AGV控制器设计与融合
  3. 活动 | Daung~!他们用产品思维改变医疗挂号问题
  4. mysql复制架构迁移到pxc_2020-03-18 Mysql常见的HA中间层架构mmm,pxc,mha
  5. 【gRPC】 在.Net core中使用gRPC
  6. Android之日期时间选择器使用方法
  7. 程序员因为一件衣服收获了爱情,真甜!
  8. nyoj--58--最少步数
  9. 将DataGrid中的数据导出为Excel的方法
  10. MySql数据库帮助类:DbHelperMySQL
  11. 项目服务器装系统,项目1服务器系统的安装.ppt
  12. 天津理工大学物联网通信技术实验1:数字基带信号(NRZ、NRZ-I、AMI、HDB3信道编码)
  13. 如何用Python将普通视频变成动漫视频
  14. Google系列Android手机无法联网/无法上网/WIFI网络受限等问题的解决方法
  15. 五轴转台哪家质量比较好?
  16. FileStore omap的实现
  17. 华硕eeepc 1201N 开启AHCI
  18. PR 2020 关于驱动程序更新
  19. 艾伟:ASP.NET 2.0的编译模型
  20. tailwind css_如何使用Tailwind CSS构建样式化的登陆页面

热门文章

  1. java实现getch_Java中是否有C++中的getch()等效项? - java
  2. 论文阅读(五):Review of Research on Task-Oriented Spoken Language Understanding
  3. 亳州学院计算机查询网址,亳州学院教务管理系统入口http://www.bzuu.edu.cn/jwc/
  4. 文本文档打开来是写字板怎么办
  5. H3C室外无线AP(WA4320X)胖瘦切换设置方法
  6. android 呼入电话游戏闪退,光遇闪退解决方法 游戏总是闪退怎么回事
  7. SpringDataJPA教程 三种配置方式
  8. 运放_电流互感器电流检测电路
  9. 中国电子科技集团公司家族谱及信息
  10. 银行数字化转型导师坚鹏:浙江浙商金控公司培训圆满结束