因为这周有个作业要求用3个160实现一个类似2000分频占空比50的分频器,然后没用过verilog,就直接从网上抄了一个,但是并不好使,索性自己来

module LS160(clk,ep,et,ld,clr,dn,qn,cout);input clk,ep,et,ld,clr;
output cout;
input [3:0] dn;
output [3:0] qn;
reg [3:0]temp;
reg cout;always @(posedge clk or negedge clr)
begin
if(clr==0)temp<=4'd0;
else
begin
if(clk==1&ld==0)
temp<=dn;
else if(clk==1&ld==1)
begin
if((ep&et)==0)
begin
temp<=temp;cout=0;
end
else
begin
temp<=temp+1;cout=0;
end
endif(temp==4'd9)
begin
cout=1;
temp<=4'd0;
end
end
end
assign qn=temp;endmodule
module count1000(clk,enp,ent,ld,clr,dn,qn,Q1000,Q100,out);
input clk,enp,ent,ld,clr;
input [11:0] dn;
output [11:0] qn;
output out;
reg [3:0]temp;
wire rco1,rco2,cout;
output Q100,Q1000;
reg out;
LS160 LS0 (clk,enp,ent,ld,clr,dn[3:0],qn[3:0],rco1);
LS160 LS1 (~rco1,1,1,ld,clr,dn[7:4],qn[7:4],rco2);
LS160 LS2 (rco2,1,1,ld,clr,dn[11:8],qn[11:8],cout);
assign Q100=rco2,Q1000=cout;always@(posedge cout)
begin
if(cout==1)
out=~out;
end
endmodule


用verilog 实现 74LS160,然后实现2000分频占空比50的分频器相关推荐

  1. 8分频verilog线_七、八分频电路Verilog源代码

    八分频 模块文件: module div8(clk_i,clk_o,reset); parameter DIV_N = 8; input clk_i; input reset; output clk_ ...

  2. IC面试常考题 Verilog三分频电路设计(占空比50%,三分之一,三分之二)

    实现三分频电路最简单的是: 利用计数器实现. 时序图分析(本人比较懒,平常科研忙,所以直接手画时序图了,懒得用软件画了): 直接上图分析:利用计数器每隔三个周期信号翻转一次,同时在不同的计数下翻转得到 ...

  3. 50%占空比的3分频器(奇数分频)

    要求:设计一个50%占空比的3分频器 总结:设计奇数N分频的核心思想是,用计数器产生两个(N/2+1)/N占空比的时钟信号(分别在系统时钟的上升沿和下降沿产生).在将这两个信号做组合逻辑运算(与运算) ...

  4. 4种FPGA时钟分频 【附源码】:1.偶数分频;2.奇数分频(占空比50%);3.奇数分频(任意无占空比);4.小数分频;

    题目来源于牛客网,完整工程源码:https://github.com/ningbo99128/verilog 目录 VL37 偶数分频 VL40 奇数分频(占空比50%) VL42 奇数分频(任意无占 ...

  5. 占空比50%的奇数分频

    占空比50%的奇数分频 题目描述 设计一个同时输出7分频的时钟分频器,占空比要求为50% 注意rst为低电平复位. 信号示意图: `timescale 1ns/1nsmodule odo_div_or ...

  6. Verilog设计(二):分频电路设计

    目录 1.偶数分频 2. 奇数分频 3.半整数分频 分频器是FPGA中常用的设计之一,在FPGA设计中担任重要的角色(时钟对于FPGA电路系统的重要性不言而喻!).尽管大多数设计中会广泛采用厂家集成的 ...

  7. Verilog通过锁相环实现倍频,分频,相位偏移

    PLL的英文全称是Phase Locked Loop,即锁相环, 是一种反馈控制电路. PLL对时钟网络进行系统级的时钟管理和偏移控制, 具有时钟倍频.分频.相位偏移和可编程占空比的功能.对于一个简单 ...

  8. 奇数偶数分频电路(占空比50%)

    数字电路中经常会遇到需要将时钟信号进行分频,一般分为奇数分频和偶数分频,同时对占空比的要求也不一样,常见的是需要保持占空比仍为50%.本文通过Verilog代码实现简单的分频电路.文末附上所有代码和仿 ...

  9. 分频器——(任意奇分频(50%占空比、非50%占空比),任意偶分频,任意小数分频)

    分频器定义: 在数字系统的设计中经常会碰到需要使用多个时钟的情况.时钟信号的产生通常具有两种方法,一种是使用PLL(Phase Locked Loop,锁相环),可生成倍频.分频信号:另一种则是使用硬 ...

最新文章

  1. Oracle安装错误“程序异常终止
  2. OAuth2,JWT,Open-ID Connect和其他令人困惑的事物
  3. python中if嵌套语句的作用_讲解Python中if语句的嵌套用法
  4. 玩转Eclipse1--基本知识与配置
  5. stm32f10x系列.s汇编启动文件
  6. 支持rotate和大小限制的golang log库
  7. 黄聪:Linq初级班 Linq To XML体验(编程篇)
  8. 制作自启动的MSDN简体中文版和英文版win98ISO镜像
  9. 为什么我建议每个开发人员都需要学Python?
  10. java捕鱼达人源码_捕鱼达人java源码(完整功能)
  11. 将人工智能融入科技体育类课程中
  12. 计算机物理学知识点,初中物理知识点计算公式表总结
  13. java locale 中国_Java描述语言、国家和地理的类——Locale
  14. 拍好人像的六个好招数
  15. Python使用pyecharts库制作桑基图
  16. 用 M1 MacBook 当主力开发机:程序员使用半个月后如是说
  17. 数组反转,Java实现
  18. brpc internal
  19. 案例分享|方形锂电池铝壳外观缺陷检测
  20. 前端:blob转换为图片URL,但是图片不显示

热门文章

  1. 佛吉尼亚大学计算机世界排名,2019上海软科世界一流学科排名计算机科学与工程专业排名弗吉尼亚大学排名第76-100...
  2. lightgbm模型处理类别型特征
  3. Killer KCC(Killer control center/Killer Intelligence Center)安装失败问题解决 Windows 10 应用需要FAT32或ExFAT格式
  4. 【dSPACE】从0开启dSPACE之路(1)dSPACE功能及其组件介绍
  5. 质点动力学与机械能守恒
  6. 第二届智能制造与工业自动化国际研讨会(CIMIA 2022)
  7. 23款免费web图标任你选择
  8. JVM架构和垃圾回收机制深入理解
  9. c语言 conio h,c语言中conio.h是什么?
  10. 大数据架构师必读:医药企业大数据应用案例