占空比50%的奇数分频

题目描述
设计一个同时输出7分频的时钟分频器,占空比要求为50%
注意rst为低电平复位。

信号示意图:

`timescale 1ns/1nsmodule odo_div_or(input    wire  rst ,input    wire  clk_in,output   wire  clk_out7);//*************code***********//reg[3:0]count_1; reg[3:0]count_2; reg[1:0] data;
always @(posedge clk_in or negedge rst)if (!rst)begincount_1 <= 0;count_2 <= 0;data <= 0;end
elsecount_1 <= count_1 + 1;always @(negedge clk_in or negedge rst)if (!rst)begincount_1 <= 0;count_2 <= 0;data <= 0;end
elsecount_2 <= count_2 + 1;always @(*)beginif(count_1+count_2 == 7)begincount_1 = 0;count_2 = 0;data = ~data;endelse data = data;endassign clk_out7 = data;//*************code***********//endmodule

占空比50%的奇数分频相关推荐

  1. 4种FPGA时钟分频 【附源码】:1.偶数分频;2.奇数分频(占空比50%);3.奇数分频(任意无占空比);4.小数分频;

    题目来源于牛客网,完整工程源码:https://github.com/ningbo99128/verilog 目录 VL37 偶数分频 VL40 奇数分频(占空比50%) VL42 奇数分频(任意无占 ...

  2. 数字分频器设计(偶数分频、奇数分频、小数分频、半整数分频、状态机分频|verilog代码|Testbench|仿真结果)

    数字分频器设计 一.前言 二.偶数分频 2.1 触发器级联法 2.2 计数器法 2.3 verilog代码 2.4 Testbench 2.5 仿真结果 三.奇数分频 3.1 占空比非50%奇数分频 ...

  3. 经典分频器——奇数分频(3分频,5分频,任意分频)

    文章目录 一.占空比不是50%(<<50%) 二.占空比接近50%(>50%) 三.占空比等于50% 四.占空比50%的任意整数分频(重点)    奇数分频根据占空比的不同,写法也不 ...

  4. 分频器的Verilog实现(偶数分频、奇数分频)

    偶数分频器的Verilog实现 核心思想 对于占空比为50%.分频系数为N的偶数分频,其核心思想是使用计数范围为[0, (N/2)-1]的计数器,每当计数器计到最大值时输出时钟翻转一次,其余时间保持不 ...

  5. 奇数分频电路—5分频(verilog实现)

    文章目录 前言 占空比不为50%的奇数分频 占空比为50%的5分频电路 扩展 前言 前文我们讲到,可以通过计数器的方法实现偶数分频,实现方式可以参考4分频电路实现 那么对于奇数分频电路应该如何实现呢? ...

  6. verilog实现奇数分频--以三分频为例

    工程文件 module aa( input rst_n,input clk,output clko); reg [1:0] cnt;reg clk1,clk2;always@(posedge clk ...

  7. 奇数偶数分频电路(占空比50%)

    数字电路中经常会遇到需要将时钟信号进行分频,一般分为奇数分频和偶数分频,同时对占空比的要求也不一样,常见的是需要保持占空比仍为50%.本文通过Verilog代码实现简单的分频电路.文末附上所有代码和仿 ...

  8. 【数字IC手撕代码】Verilog奇数分频|题目|原理|设计|仿真(三分频,五分频,奇数分频及特殊占空比)

    芯片设计验证社区·芯片爱好者聚集地·硬件相关讨论社区·数字verifier星球 四社区联合力荐!近500篇数字IC精品文章收录! [数字IC精品文章收录]学习路线·基础知识·总线·脚本语言·芯片求职· ...

  9. 设计占空比为50%的三分频电路

    设计占空比为50%的三分频电路 时序图工具 {signal: [ {name: 'clk', wave: 'P-P-'}, {name: 'clk1', wave: 'H.LH.LH.L'}, {na ...

最新文章

  1. java 中的 serialVersionUID
  2. mysql xid原理_MySQL数据库分布式事务XA实现原理分析
  3. 1.Power Designer 由数据库反向生成pdm文件
  4. hdoj5024【BFS/暴力】
  5. Top 10 Security Risks for 2014
  6. 对一组同构对象用单数组表示法实现(算法导论第十章10.3-2)
  7. go按行读取数据的坑
  8. 有关 this 指向问题总结
  9. 在线CSV转XML工具
  10. [Unity3D]无缝场景切换解决方案(1) - 简单场景切换
  11. java J2EE 分层设计思想及各个文件命名规范
  12. RBAC权限认证流程图
  13. php读取excel 报错_php读取excel内存溢出
  14. python转bat_bat 转换为python
  15. linux 添加 缺省 网关,CentOS 如何设置缺省网关
  16. java poodle,SSL 3.0 POODLE攻击信息泄露漏洞(CVE-2014-3566)
  17. php编写一个简单计算器程序,PHP做一个简单的计算器
  18. java 3 3数字拼图,基于Vue.js实现数字拼图游戏
  19. Android HAL层分析 (gralloc显示模块 举例)
  20. 学校计算机基础做慢了扣分,中职学校《计算机应用基础》课程改革的一点建议...

热门文章

  1. 批量上传插件(flash,html5,jquery)
  2. android基础之内容提供者使用
  3. ubuntu11.04下配置中文输入法
  4. STRUTS2.X之使用validate方法验证数据
  5. 打造个性化的Blog
  6. python一维数组合并_Python编程:如何将多个一维数组的元素交叉拼接成新的一维数组...
  7. hibernate get() load() 区别
  8. 2019年工程造价表_住建部发布2019年工程造价咨询企业数据统计公报!
  9. python实现Matlab中的circshift函数
  10. bool c语言_C/C++编程笔记:C语言结构体—位域,如何指定成员变量所占Bit?