PLL的英文全称是Phase Locked Loop,即锁相环, 是一种反馈控制电路。 PLL对时钟网络进行系统级的时钟管理和偏移控制, 具有时钟倍频、分频、相位偏移和可编程占空比的功能。对于一个简单的设计来说, FPGA整个系统使用一个时钟或者通过编写代码的方式对时钟进行分频是可以完成的, 但是对于稍微复杂一点的系统来说, 系统中往往需要使用多个时钟和时钟相位的偏移,且通过编写代码输出的时钟无法实现时钟的倍频.最后则只能通过设置锁相环实现倍频.

首先创建一个测试文件:

在Quartus II软件的菜单栏中找到【 Tools】→【 MegaWizard Plug-In Manager】按钮并点击打开

选择第一个,第一个是创建一个新的IP核,第二个是编辑一个已经创建好的IP核, 第三个是复制一个已经创建好的IP核。

自己选择路径和名称

我的晶振为50MHZ,通常我们保持默认设置, 选择In normal mode( 正常模式) 即可。然后我们直接点击【 Next>】

第一个设置是否使用异步复位来复位锁相环,第二个LOCK信号拉高表示锁相环开始稳定输出时钟信号, 在此我们保持默认的设置,直接点击【 Next>】

然后继续点击【 Next>】,一直到这个界面,Requested Setting一栏中直接输入我们需要的时钟频率100Mhz; Clock phase shift一栏中输入时钟的相位偏移,这里保持默认为0即可;Clock duty cycle( %) 一栏设置时钟的占空比,时钟占空比一般为50%, 我们在这里保持默认50即可, 然后点击【 Next>】.

在该页面中,我们使能c1时钟信号,然后将c1时钟设置为100MHz,在这里为了向大家演示设置时钟相位的作用,我们将时钟相位设置成180,然后点击【 Next>】

在该页面中,我们使能c2时钟信号,然后将c2时钟设置为50MHz,然后点击【 Next>】

在该页面中,我们使能c3时钟信号,然后将c3时钟设置为25MHz。然后点击【 Next>】

接下来都是直接点next最后finish,回到界面后点击yes

这个也就是我们生成的锁相环的引脚图:

接下来返回到工程界面,open生成的pll_clk.qip可以看到如图,表示已经生成了pllclk.v文件

接下来new一个test.v的文件

在文件中添加代码:

module test(
input sys_clk , //系统时钟
input sys_rst_n , //系统复位,低电平有效
//输出时钟
output clk_100m , //100Mhz时钟频率
output clk_100m_180deg, //100Mhz时钟频率,相位偏移180度
output clk_50m , //50Mhz时钟频率
output clk_25m //25Mhz时钟频率
);//wire definewire rst_n ; //复位信号
wire locked ; //locked信号拉高,锁相环开始稳定输出时钟//系统复位与锁相环locked相与,作为其它模块的复位信号
assign rst_n = sys_rst_n & locked;
//锁相环
pllclk u_pll_clk(
.areset (~sys_rst_n ), //锁相环高电平复位,所以复位信号取反
.inclk0 (sys_clk ),
.c0 (clk_100m ),
.c1 (clk_100m_180deg),
.c2 (clk_50m ),
.c3 (clk_25m ),
.locked (locked )
);endmodule

然后在编译成功后目录为这样:

其中这个设置的锁相环引脚就是根据之前生成的引脚图进行的配置

最后的仿真图如图所示:

对IP核的仿真需要在Modeslim工程中添加altera_mf文件仿真库altera\13.1\quartus\eda\sim_lib\altera_mf.v,把他复制到自己的路径下就可以了

Verilog通过锁相环实现倍频,分频,相位偏移相关推荐

  1. 锁相环(倍频,分频,利用开发板固有频率+内部专用电路 产生特定需求的时钟信号)

    一般来说,开发板的时钟是由板上的晶振产生的,频率大部分是固定的,但是我们在应用中有时候需要其他频率的时钟信号.为了解决这个问题,FPGA内部会留有专门的设置电路--时钟管理单元(硬件资源),利用已有的 ...

  2. 锁相环(PLL)的工作原理

    1.锁相环的基本组成 许多电子设备要正常工作,通常需要外部的输入信号与内部的振荡信号同步,利用锁相环路就可以实现这个目的. 锁相环路是一种反馈控制电路,简称锁相环(PLL,Phase-Locked L ...

  3. 锁相环的组成和原理及应用

    一.锁相环的基本组成 许多电子设备要正常工作,通常需要外部的输入信号与内部的振荡信号同步,利用锁相环路就可以实现这个目的. 锁相环路是一种反馈控制电路,简称锁相环(PLL).锁相环的特点是:利用外部输 ...

  4. 什么是锁相环?读懂它的基本组成和工作原理

    1.锁相环的基本组成 许多电子设备要正常工作,通常需要外部的输入信号与内部的振荡信号同步,利用锁相环路就可以实现这个目的. 锁相环路是一种反馈控制电路,简称锁相环(PLL).锁相环的特点是:利用外部输 ...

  5. 锁相环PLL --原理浅析

    1.什么是锁相环 锁相环电路是使一个特殊系统跟踪另外一个系统,更确切的说是一种输出信号在频率和相位上能够与输入参考信号同步的电路,它是模拟及数模混合电路中的一个基本的而且是非常重要的模块. 2.锁相环 ...

  6. 锁相环(PLL)的工作原理(转载)

    1.锁相环的基本组成 许多电子设备要正常工作,通常需要外部的输入信号与内部的振荡信号同步,利用锁相环路就可以实现这个目的. 锁相环路是一种反馈控制电路,简称锁相环(PLL,Phase-Locked L ...

  7. 锁相环的组成和工作原理

    1.锁相环的基本组成 许多电子设备要正常工作,通常需要外部的输入信号与内部的振荡信号同步,利用锁相环路就可以实现这个目的. 锁相环路是一种反馈控制电路,简称锁相环(PLL).锁相环的特点是:利用外部输 ...

  8. 晶振、时钟信号、锁相环、分频器

    驱动数字电路运转是的时钟信号,时序电路都需要一个外部时钟信号来驱动,完成计时,同步,计数,时序控制等各种功能.象CPU也是用时序信号驱动来完成各种运算的,而且象ARM带的模块绝大部分与时序都有关,因此 ...

  9. 理解锁相环的工作原理

    理解锁相环的工作原理 锁相环的硬件构成 鉴相器(phasedetector, PD) 低通滤波器(low past filter, LPF) 压控振荡器(voltage-controlled osci ...

最新文章

  1. Spring Cloud第九篇:链路追踪Sleuth
  2. 提高CocoaPods速度
  3. jquery $.extend() 对象内容合并
  4. java 空间复杂度_时间复杂度和空间复杂度
  5. JAVA原生mvc实现用户信息的增删查改
  6. shell之计算文本中单词出现频率
  7. leetcode 69. x 的平方根 思考分析
  8. MiniDao1.8.3 版本发布,轻量级Java持久化框架
  9. Diverse Team(CF-988A)
  10. 常用的第三方库(OC)
  11. java一维打地鼠_Java编程实现打地鼠文字游戏实例代码
  12. 蓝桥杯BASIC-28 基础练习 Huffuman树
  13. virtual box和vmware有什么区别吗_真发假发套与普通假发有什么区别吗?
  14. Python自学记录--steam密码加密逆向
  15. 【时事摘抄】(原创)既然故宫领导对错误一慨不知,认神马错?
  16. ArcGIS提取面数据中心线
  17. 谷歌浏览器获取摄像头
  18. 高端配置台式计算机,高配置台式电脑清单 3款高性能主机推荐
  19. WINDOWS更改无线网卡MAC地址的方法
  20. NLP(八):文本表示:word2vec原理及其gensim实现

热门文章

  1. linux系统ssh安装,安装ssh_Linux系统安装Autossh的方法
  2. dw网页设计期末设计一个网页_Dreamweaver网页设计模拟试题一及答案
  3. 如何将 DAT 转换为 MP4
  4. Swift字符串转16进制+字符串过滤
  5. 计算机2级excel题库百度云,计算机二级练习试题excel
  6. 臭名昭著的MOS管米勒效应
  7. Xilinx DCM(Virtex-5)
  8. Python也许很友好,但它也容易弄得一团槽
  9. 洛咕 P2463 [SDOI2008]Sandy的卡片
  10. u-boot for tiny210 ver2.2.1(by liukun321咕唧咕唧)