单选题(每题两分

1.以下关于过程赋值的描述,不正确的是()

A在非阻塞性过程赋值中,使用赋值符号“< =”

B赋值操作符是“=”的过程赋值是阻塞性过程赋值

C在非阻塞性过程赋值中,对目标的赋值是非阻塞的(因为时延),但可预定在将来某个时间步发生(根据时延:如果是0时延,那么在当前时间步结束)

D非阻塞性过程赋值在其后所有语句执行前执行

解:D

2.芯片的功耗和下列哪种不强相关_____________。

A资源利用率

B核电压

C组合逻辑级数

D翻转率

解:A

3.下列说法错误的是(  )

A Clock Buffer Enable使能率越大,功耗越大

B电路时钟频率越高,则电路的动态性越高,功耗越大

C输入并联终结电阻越大,功耗越大

D Fanout越大,驱动的负载越多,负载电容越大,功耗越大

解:C 电阻越大功耗越小  P=U^2/R

4.关于状态机的描述,如下错误的是( )

A 不同状态机之间的相互关系要明晰,避免状态异常导致配合关系异常。

B 状态机的安全完全取决于RTL编码方式和风格,推荐使用“独热码”作为状态机的状态。

C 状态机中有异常保护、防挂死处理,确保每个状态都能在异常情况下能恢复。

D 在状态机轮转中作为跳转条件的两个互斥信号应用一个信号表示。

解:B

这个完全取决于是不是有点离谱,主要还是看逻辑对不对吧

我记得是24位以下(?)用独热码,别的用格雷码比较省功耗

独热码适合写条件复杂但是状态少的状态机;

格雷码适合写条件不复杂但是状态多的状态机。

FPGA编写有限状态机使用独热码为什么会占用较少的组合逻辑电路? - 知乎

5.下列电路中不属于时序逻辑电路的是__________

A全加器

B 加法器

C 分频器

D 计数器

解:A

6.以下关于TESTBENCH的描述,错误的是(  )

A TESTBENCH采用分层结构,通常包括测试用例层、数据交换层及待测模块层

B TESTBENCH是为了完成对逻辑的验证而搭建的仿真环境

C TESTBENCH编码设计对可测试性没有要求

D TESTBENCH用来发送激励数据、控制仿真执行,并完成输出结果比较

解:C

7.以下哪种匹配方式的匹配器件是紧靠驱动端布局的 (  )

A Thevenin等效匹配

B 并联匹配

C  AC匹配(RC匹配)

D 串联匹配

解:D

这不微波原理么

信号完整性之阻抗匹配与端接方法 - 程序员大本营

8.表达式Xn+1(t+T)=Xn(t),其中T为时钟周期,描述的是________。

A T触发器

B SR触发器

C D触发器

D JK触发器

解:A

复习笔记 R-S触发器,D触发器,J-K触发器,T触发器,CP - 知乎

9.

main(){

int i=8;

printf("%d\n",++i);

printf("%d\n",i--);

}

上面代码的打印输出分别为:(  )

A 9  9

B 8  8

C 9  8

D 8  9

解:A

++i和i++有什么区别啊?_百度知道

10.寻址容量为15k*8bit的RAM需要(  )根(地址和数据线不复用)地址线和数据线。

A 22

B 17

C 23

D 18

解:A 14+8

11.下列哪项不是导致信号完整性问题的原因(  )

A 信号电平越来越低,噪声裕量小

B 信号频率越来越高

C 信号接收端获取到了错误的电平值。

D 波形01变化斜率要求高爬坡时间短

解:C 这个是结果吧

什么因素导致信号完整性问题?-电子发烧友网

12.下面关于异步信号同步化描述正确的是 (  )

A RAM端口信号如果已经做了多时钟周期约束,则不需要再考虑异步信号同步化处理。

B 在跨时钟域同步化处理时,使用两级寄存器结构可以完全消除亚稳态。

C多b1t信号同步化可以使用可靠的握手电路、格雷码或PIFO实现。

D 在跨时钟域之间不要使用组合逻辑,防止出现亚稳态。

解:C

A要考虑

B一般认为不行(其实是可以的)

D发送端时钟域寄存器输出和接收端异步时钟域级联寄存器输入之间不能有任何其他组合逻辑,别的地方可以有组合逻辑

面试题12–跨时钟域的信号处理技术 | 码农家园

13.下列哪个不是解决跨时钟域多位信号同步的方法________。

A 握手通信方式

B 同步FIPO隔离

C 双端口RAM通信方式

D 格雷码输出

解:B 跨时钟肯定是异步了

14.若一模拟信号为带限,且对其抽样满足奈奎斯特条件,则只要将抽样信号通过________即可完全不失真恢复原信号。

A 理想带通滤波器;

B 理想低通滤波器;

C理想带阻滤波器;

D理想高通滤波器;

解:C

B

什么是带限信号?

带限信号是在某个频率区间内有值,在这个区间之外就是零的信号。

抽样定理也叫取样定理、奈奎斯特定理、卡切尔尼柯夫定理。是取样频率应当不小于带限信号频率上限的2倍才可保证还原时信号不失真。

什么事带限信号?还有带限信号的抽样定理的定义? - 懂得

15.测量一个时钟的频率准确度,合适的仪器是 (  )

A 示波器

B 晶体振荡器

C 频率合成器

D 频率计

解:不懂 可能选D吧

测试知识竞赛题库硬件开发、硬件测试岗位适用 - 道客巴巴的第49题

D

16.在Verilog HDL中,定义变量并进行运算如下:(  )

wire [5:0] mem0, mem1 ,mem2;

wire [2:0] data_out;

assign mem0 = 0x29;

assign mem1 = 0x1B;

assign mem2 = 0x34;

assign data _out = mem0[5: 3]+mem1[4:2] + mem2[3:1];

请问data_ out的输出值为()

A 0x7

B0xD

C 0x3

D 0x5

解:D

17.卡诺图上变量的取值顺序是采用:()

A ASCII码

B 循环码

C 自然二进制数

D 二进制码

解:B

循环码也叫格雷码

18.DDR3芯片的接口电平是()

A SSTL-1.8。

B CML。

C HSTL-1.8。

D SSTL-1.5。

解:D

我不知道

一、DDR3电平标准

DDR3电平标准为:SSTL15 ,1.5V±0.075V

DDR2接口电平标准:SSTL_18

DDR接口电平标准:SSTL_2

DDR3篇第二讲、MIG电气接口介绍 - 云+社区 - 腾讯云

19.下面关于$display. $strobe, $monitor的区别描述正确的是

A $strobe直接立刻输出,$display是等稳定后输出,$monitor是发生变化时输出

B $display直接立刻输出,$monitor是等稳定后输出,$strobe是发生变化时输出

C $display直接立刻输出,$strobe是等稳定后输出,$monitor是发生变化时输出

D $strobe直接立刻输出,$monitor是等稳定后输出,$display是发生变化时输出

解:这个我也不懂

C

verilog系统任务——$display,$write,$strobe,$monitor,$stop,$finish_Tiger-Li的博客-CSDN博客

20.在有符号数的乘法运算中,8比特有符号数乘以12比特有符号数,运算结果用多少比特的有符号数表式则既不会溢出也不会浪费__________

A 20

B 18

C 21

D 19

解:A

数字设计中的小数处理——在加法与乘法运算中_隔壁老余的博客-CSDN博客

21.组合逻辑电路消除竞争冒险的方法有__________。

A 后级加驱动电路

B 输入端加滤波电路

C 屏蔽输入信号的尖峰干扰

D 在输出端接入滤波电路

解:D

22.对于代码覆盖率,以下说法错误的是:()

A 这可以帮助发现是否存在冗余代码

B 可以帮助确定代码行是否被完全执行

C 可以帮助发现状态机跳转路径是否覆盖

D 可以帮助确定功能需求是否完全实现

解:A 不会

D 代码覆盖率不检查功能正确性

SV之覆盖率_bleauchat的博客-CSDN博客_断言覆盖率

代码覆盖率指的是设计代码的执行量,它包括行覆盖率、FSM状态机覆盖率、分支覆盖率、条件覆盖率 和path路径覆盖率。仿真工具将自动从设计代码中提取代码覆盖率.代码覆盖率就算达到100%,这并不意味着不存在bug.

行覆盖率: 检查某行代码是否被执行过
分支覆盖率: 检查条件分支是否都被执行过
条件覆盖率, 表达式覆盖率: 通过真值表分析表达式各种逻辑组合
有限状态机覆盖率: 检查每个状态是否被覆盖, 状态之间的跳转是否被执行

23.一个八位二进制减法计数器,初始状态为00000000,问经过268个输入脉冲后,此计数器的状态为_________。

A  11110101

B  11001111

C  11110011

D  11110100

解:D

24.对于一般的逻辑电平,各参数需满足如下________的关系。

A  Voh>Vih>Vt>Vol>Vil;

B  Vih>Voh>Vt>Vol>Vil;

C  Voh>Vih>Vt>Vil>Vo1;

D  Vih>Voh>Vt>Vil>Vol;

解:C Voh > Vih > Vt> Vil > Vol

25.提高同步设计的工作频率的原则中,可行的措施是()

A 打平设计的层次结构,使得模块边界充分优化

B 打开综合器资源共享选项

C 复杂状态机采用二进制编码或者格雷码

D 减少组合逻辑级数

解:D

26.对于一般的逻辑电平,各参数需满足如下的关系    (考的时候确实是和24一样)

A Vih>Voh>Vt>Vil>Vol

B Vih>Vol>Vt>Vol>Vil

C Voh>Vih>Vt>Vil>Vol

D Voh>Vih>Vt>Vol>Vil

解:C

27.下列关于寄存器等价优化错误的是()

A通过显式的综合约束代码可以阻止工具进行等价寄存器优化

B综合工具会自动优化等价寄存器

C通过综合工具选项设置可以阻止工具进行等价寄存器优化

D综合工具等价寄存器优化不会跨越代码一级模块

解:C 我不确定 但一般都是jia

D 评论里大佬给的链接:Vivado综合设置选项分析:-keep_equivalent_registers - 云+社区 - 腾讯云

数字逻辑综合工具-DC-06——综合优化过程_王见王见的博客-程序员ITS401_综合工具等价寄存器优化不会跨越代码一级模块 - 程序员ITS401

28.在两个方向上交替的传输为:()

A全双工

B单工

C串行

D半双工

解:D

29.下列关于initial和always的说法错误的是()

A initial只能执行一次;

B initial和always的区别是前者不可以综合,后者可以综合;

C always始终循环执行;

D initial和always不能同时执行;

解:D

30.未施加外部电压时,PN结中电流()

A从N区到P区

B不确定

C等于零

D从P区到N区

解:D C

复习一下PM结正偏和反偏原理

1-模电第一章基础知识学习(半导体+二极管+三级管+课后习题思路)_永相随1的博客-CSDN博客

PN结

31.FPGA中的BRAM使用的ECC的特性有哪些

A只能可以发现1或者2bit错误

B可以纠正1bit错误

C可以发现2bit以上的错误

D可以纠正2bit错误

解:不懂凭感觉蒙 B

  • ECC,当MEMORY类型为SDP RAM的时候可以用ECC,ECC主要作用是单bit纠错,双bit检错。ECC分为软ECC和硬ECC,软ECC在数据位宽较小的时候使用(16以内),并且需要消耗BRAM以外的FPGA资源。硬ECC在数据位宽较大时候使用,不需要消耗额外FPGA资源。
  • FPGA从入门到精通(8)-BRAM - 知乎

多选题(4分)

32.要把10M时钟域下的一个模10计数器的值,传递到异步的100M时钟域下。以下说法正确的有_________。

A可以把计数值转换成格雷码,再用100M时钟采样

B可以通过异步fifo传递计数值

C可以用计数值+握手信号的方式传递

D可以先用100M时钟把计数值打2拍,再采样

解:BC

A采样吗,不是打两拍?

D这是多bit信号

33.为保证器件接口的可靠性,哪些因素我们需要关注()?

A温度漂移

B时钟抖动

C电源噪声

D器件参数离散性

解:ABCD

34.在Verilog HDL中,下列关于表达式的描述正确的是()

A表达式中可使用函数调用

B表达式由操作数和操作符组成

C表达式中的整数值可被解释为有符号数或无符号数

D表达式可以使用数值

解:ABCD不懂

35.下面关于always语句描述正确的是________。

A阻塞赋值按照顺序执行,非阻塞赋值并发执行。

B时序逻辑always中敏感表中必须标明时钟信号和复位信号(如果使用异步复位)。

C在时序逻辑语句块中非阻塞赋值和阻塞型赋值都可以使用

D组合逻辑always中敏感表可以标明敏感变量,也可以使用*替代。

解:ABD

C不能阻塞

36.二进制减法遵循下面哪些规则

A 0-1=1

B 1-0=1

C 1-1=0

D 0-0=0

解:ABCD

37.以下属于常用逻辑电平的有()

A PECL

B LVTTL

C LVDS

D LVCMOS

解:ABCD

常用逻辑电平:TTL、CMOS、LVTTL、LVCMOS、ECL(Emitter Coupled Logic)、

PECL(Pseudo/Positive Emitter Coupled Logic)、LVDS(Low Voltage Differential Signaling)、

GTL(Gunning Transceiver Logic)、BTL(Backplane Transceiver Logic)、ETL(enhanced

transceiver logic)、GTLP(Gunning Transceiver Logic Plus);RS232、RS422、RS485(12V,

5V,3.3V);

也有一种答案是:常用逻辑电平:12V,5V,3.3V。

38.下列方法对提升系统时钟频率有帮助的有________。

A采用pipeline设计

B升高器件环境温度

C减少组合逻辑级数

D使用全局的时钟资源

解:AC  ACD

讨论个问题:关于全局时钟 - FPGA论坛-资源最丰富FPGA/CPLD学习论坛 - 21ic电子技术开发论坛

39.如下属于差分电平的是_________。

A LVFECL

B LVTTL

C CML

D LVDS

解:CD

常用的差分逻辑电平,包括LVDS、xECL、CML、HCSL/LPHCSL、TMDS等。

逻辑电平之常见差分逻辑电平(4) | 电子创新网赛灵思社区

40.下列关于initial和always的说法正确的是__________。

A initial只能执行一次

B initial不可以综合,always可以综合

C always只要条件符合即可执行

D initial和always不能同时执行

解:ABC


测试知识竞赛题库硬件开发、硬件测试岗位适用 - 道客巴巴华为2020届逻辑笔试_爱吃蛋挞的Dolly的博客-CSDN博客_华为逻辑岗笔试题

FPGA/IC笔试——华为 - 一曲挽歌 - 博客园

华为硬件逻辑岗试题-个人题解 - 哔哩哔哩

秋招知识点总结-FPGA基础知识 - 知乎

华为2022硬件逻辑笔试题相关推荐

  1. 华为2022数字芯片笔试题

    单选 1.ASIC开发流程中,如下环节的先后顺序是? A. RTL-> Synthesis->P&R B. Synthesis->P&R->RTL C. Syn ...

  2. 华为芯片设计面试题_华为公司面试硬件工程师笔试题

    华为公司面试硬件工程师笔试题 华为是我国知名的大企业,那么它在招聘硬件工程师的时候有什么要求呢?以下是百分网小编精心为大家整理的华为公司面试硬件工程师笔试题,希望对大家有所帮助!更多内容请关注应届毕业 ...

  3. 2019校招硬件岗笔试题(乐鑫科技+比特大陆)

    2019校招硬件岗笔试题(乐鑫科技+比特大陆) 都是提前批次的笔试题,乐鑫科技是现场笔试,监考还挺严格的.写一写回忆版的笔试题. 乐鑫考察的比较多,但是都很基础,关于高速电路部分,推荐<信号完整 ...

  4. 华为机试python打印机_华为校园招聘上机笔试题 扑克牌大小(python)

    本文为大家分享了华为校园招聘上机笔试题,供大家参考,具体内容如下 [编程题] 扑克牌大小 时间限制:10秒 空间限制:131072K 扑克牌游戏大家应该都比较熟悉了,一副牌由54张组成,含3~A,2各 ...

  5. 华为 社招 C语言笔试,华为笔试C语言笔试题之3

    <华为笔试C语言笔试题之3>由会员分享,可在线阅读,更多相关<华为笔试C语言笔试题之3(10页珍藏版)>请在人人文库网上搜索. 1.C 语言笔试题之34. static 有什么 ...

  6. 2022暑期实习笔试题总结(网易,华为,360,美团)

    暑期投的比较晚,总结一下目前做过的一些笔试题 网易0327 题目:传送门 解析:传送门好像没有第三题 总结: 第一题不难,想的比较复杂,看到很多人说枚举就可以做,本人非要在做题的时候想一个" ...

  7. 2017华为校招硬件逻辑工程师

    作为电子专业的本科生,毕业之后去华为工作可能是最好的选择(之一)了,待遇好,成长速度快,唯一缺点是加班严重,然则现在的企业哪有不加班的.所以应聘的时候自然也花了不少心思,实习的时候面试华为被刷了,校招 ...

  8. 盘点2022初级Java笔试题,选择题,简答题(右滑查看答案)

    2022Java笔试题总结 一.选择题(可能不止一个选项) 二.解答题 结束语

  9. 联发科2022暑期实习笔试题

    笔试题一共八道,第一道是根据门电路写逻辑表达式.第二个是根据逻辑门和触发器连接图和给定信号的波形,补全波形.第三个记不清了.第四个是智力推理题,难度很小.第五个是描述做过的项目.第六个是脚本编程题.第 ...

最新文章

  1. PIE SDK图层树伙伴控件示例
  2. 周立波贼经典的话11-20
  3. jenkins安装和使用
  4. Xen 启动客户虚拟机的过程分析
  5. 云笔记项目-Spring事务学习-传播MANDATORY
  6. 枚举详解之EnumSet、EnumMap用法
  7. python笔记之函数参数(缺省参数,命名参数,不定长参数)
  8. js判断最后一个字符是不是指定字符_结合简单的 JS 就可以让 CSS 也能做搜索
  9. 免费zblog mip主题aymFreeTwo
  10. java报错:java:xxx包不存在
  11. Django学习笔记7
  12. 电脑qq浏览器怎么滚动截长图_QQ浏览器怎样实现长截图
  13. Maven的基本概念(三)
  14. 干货丨看金仓数据库如何支持透明加密!
  15. zabbix使用自动发现添加主机
  16. PTA天天练(大于身高的平均值 )
  17. atm柜员机模拟程序c语言,ATM自动取款机模拟程序
  18. Java杂乱无章-判断为空的编码规范
  19. 关于习而学的软件工程教育
  20. 数据挖掘概念汇总及数据预处理

热门文章

  1. 马士兵python_马士兵老师的python入门教程
  2. 计算机多媒体技术英语怎么说,计算机多媒体技术环境中的英语语言测试
  3. 虚幻4引擎学习日记----个人2d游戏开发_1
  4. 自学前端到上岸工作系列之css03
  5. vue如何引入外部js文件,待解决,急!!!
  6. linux怎么设置自动缩进,配置Linux下vim自动缩进等功能
  7. 欧美企业必备技能-Mockito
  8. vue+element 动态加载数据,checkbox实现全选,不全选
  9. 幼儿英语课前热身小游戏
  10. 拆解八马茶业IPO:门店月均收入不足3万元,关联方没用就抛弃?