目录

1、module 文件名(端口)

2、声明关键字

3、always@语句

代码


1、module 文件名(端口)

 注:这里最好养成习惯,只在文件名后面的括号中声明引脚变量,输入输出、关键字类型等等都放到后面定义。

//verilog基础语法(always)
module my_and(key1, led1);
//注:一旦在module后面的括号中指定了input/output,后面就不能再增加类型了,
//所以最好不要在括号内定义input/output类型

2、声明关键字

这里分别定义输入线key1、和输出寄存器led1。

input wire key1;     //key1:设置输入、wire型(输入不能用wire型)
output reg led1;        //led1:设置输出,reg型(always语句中需要reg类型变量)

3、always@语句

注意:只有reg型变量能在always@语句中被赋值(不能再用前面的assign直接赋值)

always@(key1)           //变量变化一次就执行一次(key1变化)begin led1 = key1;  //按键按下执行//注:这里led1是reg型,reg型不能再用assign连线直接赋值end

代码

//verilog基础语法(always)
module my_and(key1, led1);
//注:一旦在文件名后面的括号中指定了input/output,后面就不能再增加类型了,
//所以最好不要在括号内定义input/output类型input wire key1;        //key1:设置输入、wire型(输入不能用wire型)
output reg led1;        //led1:设置输出,reg型(always语句中需要reg类型变量)always@(key1)          //变量变化一次就执行一次(key1变化)begin led1 = key1;  //按键按下执行//注:这里led1是reg型,reg型不能再用assign连线直接赋值endendmodule

执行效果:按键按下,灯亮。

FPGA(2)基础语法 -- 按键控制led(alway@语句)相关推荐

  1. FPGA:基础入门按键控制LED灯

    题目概述: 使用按键控制LED灯亮灭. 无按键按下--LED全灭 按下KEYO--从右向左的流水灯效果 按下KEY1--从左向右的流水灯效果 按下KEY2--LED闪烁 按下KEY3--LED全亮 编 ...

  2. FPGA(1)基础入门 -- 按键控制led灯

    目录 效果说明 1.配置输入输出变量 2.变量赋值 3.配置引脚(输入输出变量) 代码 效果说明 key1按键按下,led1被点亮. key2按键按下,led2被点亮. 1.配置输入输出变量 inpu ...

  3. 基于FPGA的两位按键控制LED数码管加减计数实验

    两位按键控制LED数码管加减计数实验 这是一篇拖了一个多月的文章,主要是基于FPGA利用按键消抖原理与动态数码管驱动原理相结合,来实现一个利用两位按键来控制数码管实现0-99的加法计数或者减法计数功能 ...

  4. FPGA:基础入门按键控制蜂鸣器

    题目概述: 使用按键控制蜂鸣器发声.初始状态为蜂鸣器鸣叫,按下开关后蜂鸣器停止鸣叫,再次按下开关,蜂鸣器重新鸣叫. key_debounce.vmodule key_debounce( input s ...

  5. 第三次学JAVA再学不好就吃翔(part15)--基础语法之控制跳转语句

    学习笔记,仅供参考 文章目录 JAVA基础语法 break语句 continue语句 标号 return语句 JAVA基础语法 break语句 应用场景 只能在switch和循环中使用 举个例子 cl ...

  6. FPGA之按键控制LED

    一.按键开关 1.按键开关(轻触开关):主要是指轻触式按键开关,属于电子元器件类,使用时以满足操作力的条件向开关操作方向施压开关功能闭合接通,当撤销压力时开关即断开,其内部结构是靠金属弹片受力变化来实 ...

  7. led计数电路实验报告_「正点原子FPGA连载」第八章 按键控制LED灯实验

    1)实验平台:正点原子开拓者FPGA开发板 2)本实例源码下载:请移步正点原子官网 第八章 按键控制LED灯实验 按键是常用的一种控制器件.生活中我们可以见到各种形式的按键,由于其结构简单,成本低廉等 ...

  8. FPGA 双按键控制LED呼吸灯实现

    FPGA &&双按键控制&&LED呼吸灯实现 文章目录 FPGA &&双按键控制&&LED呼吸灯实现 1.按键脉冲设计 1.1按键脉冲信 ...

  9. 二:FPGA导航按键控制LED

    FPGA按键控制LED 软件 芯片 功能 代码 代码解释 软件 软件使用的是ISE14.6(因为穷没买7系列,劝大家买个7系列的板子,这个软件装着还挺费事,不如Vivado好用,且6和7软件不通用) ...

最新文章

  1. Sping中利用HandlerExceptionResolver实现全局异常捕获
  2. 吐血整理:论文写作中注意这些细节,能显著提升成稿质量
  3. git错误“无法推送一些引用到xxx“的解决方法
  4. numpy随机生成01矩阵_NumPy数组基本介绍
  5. 数据可视化组队学习:《Task02 - 艺术画笔见乾坤》笔记
  6. mysql update column_MySQL8.0 新特性:Partial Update of LOB Column
  7. Linux正则表达式与grep
  8. 关于计算机用途的大学英语作文,学习使用电脑Student Use of Computers
  9. JavaScript:模块化及模块化规范
  10. 联想Y9000P2021双SSD系统win10下ubantu20.04+ROS的安装(记录非教程)
  11. matlab ldiv,MATLAB入门教程精选
  12. Google浏览器更改默认路径为D盘路径
  13. 底部菜单控件BottomNavigationView的使用
  14. 用HTML加css做成的新年特效,使用css3和html给网站添加上春节灯笼特效的源码分享...
  15. python使用企业微信机器人发送测试报告
  16. msp心形16个闪灯c语言程序,心形流水灯程序
  17. dart sass自学笔记
  18. 大数据项目(BP神经网络)
  19. 玄武密码(bzoj4327)(JSOI2012)
  20. 基于MATLAB的神经网络进行手写体数字识别(含鼠绘GUI / 数据集:MNIST)

热门文章

  1. python 把一个字典赋值给一个空的字典,或者是列表赋值给一个空的列表显示黄色警告
  2. Android onAttach 的使用
  3. ES6 Proxy 性能之我见
  4. 机器学习模型质量评价标准 — 精准率、召回率
  5. poj 2559 Largest Rectangle in a Histogram 栈
  6. 结构体成员的引用方法
  7. 完美的隐藏软键盘方法
  8. AngularJS中的按需加载ocLazyLoad
  9. 伪元素first-letter
  10. 每周四十小时,你有多少是在为自己干活?