内容组织

1.建立工程 
2.插入及配置核 
2.1运行Synthesize 
2.2新建cdc文件 
2.3 ILA核的配置 
3. Implement and generate programming file 
4.利用Analyzer观察信号波形 
4.1连接器件 
4.2下载配置fpga 
4.3载入信号端口名 
4.4设置触发信号 
4.5运行并观察信号波形 
补充

1. 建立工程
ChipScope是配合Xilinx Ise使用的片内逻辑分析工具,使用的第一步是建立ise工程文件,详细步骤可参考ise使用说明。如果已有建好的ise工程,可跳过此步骤,打开已有工程即可。
建立工程时注意正确添加.v源文件和.ucf管脚配置文件。

2. 插入及配置核
        这里介绍的是ICON核和ILA核的使用方法。ILA核提供触发和跟踪功能,根据用户设置的触发条件捕获数据;然后在ICON的控制下,通过边界扫描口将数据上传到PC;最后在Analyzer中显示出信号波形。

2.1 运行Ise的Synthesize
          单击选中sources栏中的顶层源文件,右键点击processs栏中的Synthesize,点击Run,进行代码综合。
     

2.2 新建cdc文件
          右键单击sources栏中顶层源文件,点击new source,选择chipscope definition and connection file,设好文件名及文件路径,勾选add to project,然后一直点next完成建立。
 

2.3 核的配置
          双击sources栏中的刚刚建立的cdc文件,启动core inserter。点击两次next,进入ILA的设置界面,首先是trigger parameters界面。

弹出netlist changed的提示框,点选ok刷新网表。通过number of input trigger ports可设置要观察波形的组数,通过trigger width可设置每组观察的信号的数目。
 
         
点击next进入capture parameters界面。通过data depth可设置信号采集的时钟周期数,采集数目越多,观察信号的时间越长。
   
              点击next进入net connections界面。可以看到net connections端口列表显示红色字体,表示端口没有完全连接;当全部端口都与具体信号连接时,字体变为黑色。
              双击clock ports打开select net对话框。首先连接时钟信号,在右边net selections框中点clock signals,选择时钟的信道CH0,在左下方的信号列表中找到时钟信号,单击选中,然后单击右下方make connections按钮,完成clk信号的连接。


          
          在右边net selections框中点trigger/data signals,用同样的方法连接所有想要观察的信号。如果之前设置观察多组信号,可点击右下方TP0/TP1切换信号组。连接完成后点击下方OK退出select net对话框,然后点击return to project navigator退出core inserter,并保存设置。
 

3. Implement和Generate Programming File
        单击选中sources框中顶层源文件,运行processes框中的Implement。注意,如果Implement过程中报错端口连接不完全,应返回ILA配置检查端口是否全部连接。
        右键单击Generate Programming File,点击properties,在startup options中将start-up clock设为JTAG clock,点击ok。
        运行Generate Programming File,生成.bit文件。
 

4. 利用Analyzer观察信号波形
        运行process框中的analyze design using chipscope,进入chipscope pro analyzer。
    
4.1 连接器件
        单击左上角file下面的图标,连接到器件,弹出对话框选ok。
 

4.2 下载配置fpga
        右键点击my device1(即fpga芯片),单击configure,弹出对话框,点select new file,选择之前生成的.bit文件,点击ok,之后程序将把design下载到fpga。
 

4.3 载入信号端口名
        单击file->import,点击select new file选中cdc文件,然后点击ok。此时可以看到端口列表中的端口名称都变成了对应的信号名称。

4.4 设置触发信号
       双击trigger setup打开触发信号设置框,在value栏中可设置开始信号采集的触发信号值,其中每一位对应一个端口,按照端口顺序排列。例如图中设置为端口0(cle)为1,并且端口7(clk)为0时开始采集信号。X表示任意值。
 

4.5 运行并观察波形
        单击左上角三角形按钮启动fpga电路,当各端口信号满足设置的触发信号时,程序开始采集信号,并在waveform中显示采集到的信号。可通过左上角的一系列控制按钮调节波形显示。
 

补充:
1、 当对源文件进行过修改后需重新运行Synthesize、Implement、Generate programming file,生成.bit文件,并在chipscope analyzer中通过configure重新加载.bit文件。

ISE中ChipScope软件使用相关推荐

  1. Vivado Turtorial 01 —— 使用vivado中debug功能(类似ISE中ChipScope)

    这是转载大佬的,也可以移植到其他开发板上. Vivado Turtorial 01 -- 使用vivado中debug功能(类似ISE中ChipScope) 1.基于BASYS3板子,有如下代码: m ...

  2. ISE中chipscope的使用教程

    参考文章链接: https://blog.csdn.net/rill_zhen/article/details/8115756 http://www.cnblogs.com/liujinggang/p ...

  3. ISE中ChipScope使用方法

    完全按照下面方法走一遍,就会了. 一.软件与硬件平台 软件平台: 操作系统:Windows 10 开发套件:ISE14.7 硬件平台: FPGA型号:z7,clg400-1 二.ChipScope介绍 ...

  4. ISE的ChipScope波形存储与Modelsim查看

    使用ISE的chipscope查看采集的波形数据并不是很方便.并不像VIVADO和modelsim软件可以直接将数字文件转换为模拟波形文件.下面是通过ISE保存VCD格式文件后使用modelsim打开 ...

  5. ISE中启动modelsim时出现了下面的错误

    1.我在ISE中启动modelsim时出现了下面的错误 Loading work.tb_ic1_func # ** Error: (vsim-19) Failed to access library ...

  6. ISE中开发XPS microblaze软核与BootLoader功能的实现

    XILINX公司的FPGA中集成了硬PPC控制器,在ISE开发环境中嵌入XPS microblaze软核后添加BootLoader.elf文件实现boot功能. 最终实现的条件就是生成download ...

  7. 客快物流大数据项目(二十二):Docker环境中安装软件

    目录 Docker环境中安装软件 一.安装JDK 二.安装Oracle11g 1.安装pdksh 2.安装Oracle依赖

  8. Linux系统中的软件管理

    Linux系统中的软件管理 1 Linux中软件包的类型 2 软件包的名称结构 3 rpm命令管理软件包 4 本地软件仓库的搭建 4.1 系统软件仓库的作用 4.2 搭建方法 5 dnf 软件管理命令 ...

  9. ISE中使用Notepad++的关联设置以及Notepad++的护眼设置(设置背景色)

    一.ISE中使用Notepad++的关联设置 由于Notepad++使用方便,兼容性好,故使用Notepad++进行编写Verilog HDL程序是一种明智的选择,且可以设置不同的主题,背景色,优待我 ...

最新文章

  1. matlab 定义矩阵_MATLAB与Python,Mathematica由高维转化一维矩阵的方式的不同
  2. 《上海市数据中心建设导则(2021版)》
  3. python线程问题_Python线程问题
  4. FreeNOS的启动
  5. 阿里云服务器安装JDK指南
  6. 注意力机制学习(二)——空间注意力与pytorch案例
  7. 汇编语言DOSBox软件使用方法
  8. Powershell远程管理服务器客户端
  9. IdentityServer4【Topic】之定义资源
  10. Math,Number
  11. 软考网络工程师易错100问
  12. cdrx4自动排版步骤_如何在CorelDRAW软件排版CDR怎么快速排版技巧?
  13. 使用Unified Communications Managed API获取Lync在线会议的链接地址
  14. 百度地图,定位,添加图标
  15. python加载图片的方法_python实现读取并显示图片的两种方法
  16. length和length()的区别
  17. 微信朋友圈卖货五大法则
  18. 第一性原理计算2019-nCoV病毒分子3CL水解酶结构
  19. php增加横排文字的间距,html段落内文字设置字间距间隔
  20. 基于Android的废旧物品回收APP

热门文章

  1. STC单片机高速下载电路改进
  2. APPLE:疑问驱动下的学习与实践
  3. 你的心思,我如何懂得?
  4. java中使用bigdec,Java中的BigDecimal的使用
  5. 厦门理工学院计算机科学与技术专业,厦门理工学院计算机类(含计算机科学与技术、网络工程、空间信息与专业2016年在福建理科高考录取最低分数线...
  6. 查看运行状态_查看 Linux 系统服务的 5 大方法
  7. linux open()调用的注意事项
  8. android与mysql数据库同步_android开发 如何通过web服务器访问MYSQL数据库并且使其数据同步到android SQLite数据库?...
  9. 如何部署php论坛系统,Discuz论坛系统搭建
  10. 360显示html邮件内容,“邮件怎样发送视频内容”的解决方案