与为您管理源文件的项目模式不同,源文件在非项目模式下由您控制。 使用Tcl命令,指定要处理和输出要生成的文件的文件,包括网表,比特流和报告文件。 项目模式和非项目模式命令显示常用的项目模式命令和相应的非项目模式命令。

For more information on Project Mode and Non-Project Mode, see this link in the Vivado Design Suite User Guide: Design Flows Overview (UG892) [Ref 1].

For more information on Tcl commands, see the Vivado Design Suite Tcl Command Reference Guide (UG835) [Ref 4].
Note: In Non-Project Mode, files are compiled in the order the read_* commands are listed in the Tcl script.
Note: To Select a new part in the current installation, close the current project and upgrade the current installation to add additional part.

Following is an example of a Non-Project Mode script, which reads in various source files:
# create_bft_batch.tcl
# bft sample design
# A Vivado script that demonstrates a very simple RTL-to-bitstream batch flow
#
# NOTE: typical usage would be "vivado -mode tcl -source create_bft_batch.tcl"
#
# STEP#0: define output directory area.
#
set outputDir ./Tutorial_Created_Data/bft_output
file mkdir $outputDir
#
# STEP#1: setup design sources and constraints
#
read_vhdl -library bftLib [ glob ./Sources/hdl/bftLib/*.vhdl ]
read_vhdl ./Sources/hdl/bft.vhdl
read_verilog [ glob ./Sources/hdl/*.v ]
read_xdc ./Sources/bft_full.xdc
#
# STEP#2: run synthesis, report utilization and timing estimates, write checkpoint
design
#
synth_design -top bft -part xc7k70tfbg484-2 -flatten rebuilt

write_checkpoint -force $outputDir/post_synth
report_timing_summary -file $outputDir/post_synth_timing_summary.rpt
report_power -file $outputDir/post_synth_power.rpt
#
# STEP#3: run placement and logic optimzation, report utilization and timing
estimates, write checkpoint design
#
opt_design
place_design
phys_opt_design
write_checkpoint -force $outputDir/post_place
report_timing_summary -file $outputDir/post_place_timing_summary.rpt
#
# STEP#4: run router, report actual utilization and timing, write checkpoint design,
run drc, write verilog and xdc out
#
route_design
write_checkpoint -force $outputDir/post_route
report_timing_summary -file $outputDir/post_route_timing_summary.rpt
report_timing -sort_by group -max_paths 100 -path_type summary -file
$outputDir/post_route_timing.rpt
report_clock_utilization -file $outputDir/clock_util.rpt
report_utilization -file $outputDir/post_route_util.rpt
report_power -file $outputDir/post_route_power.rpt
report_drc -file $outputDir/post_imp_drc.rpt
write_verilog -force $outputDir/bft_impl_netlist.v
write_xdc -no_fixed_only -force $outputDir/bft_impl.xdc
#
# STEP#5: generate a bitstream
#
write_bitstream -force $outputDir/bft.bit

【 Vivado 】Working with Sources in Non-Project Mode相关推荐

  1. 【 Vivado 】基本的时序约束、分析的概念

    目录 时序路径: Clock Setup Check: Clock Hold Check: Timing Report in Vivado: 时序路径: 关于时序路径,曾也有几篇博文讲到:[ FPGA ...

  2. 【 Vivado 】通过IP Integrator进行设计示例

    本文采用Vivado2014.4来完成一个二进制转格雷码的IP的设计与封装. 格雷码的编码原理: 实验步骤: 打开Vivado,创建名为Gray_Code_converter的工程,创建原理图,添加I ...

  3. 【 Vivado 】XDC文件的约束顺序

    由于XDC约束是按顺序应用的,并且基于明确的优先级规则进行优先级排序,因此必须仔细检查约束的顺序. 如果多个物理约束发生冲突,则最新约束将获胜. 例如,如果通过多个XDC文件为I / O端口分配了不同 ...

  4. 【 Vivado 】时钟类型

     Vivado进行时序分析,对时钟的约束是必不可少的,设计中的时钟可分为一下几种: Primary Clocks 主时钟: Generated Clocks 衍生时钟: Virtual Clocks ...

  5. 【 Vivado 】UCF到XDC之间的转换

    有时候会有这样的需求,就是之前在ISE上的工程,现在需要用Vivado来操作,这样其中的一部分工作就是将ISE上的时序约束文件UCF转换为XDC文件. 如下图1所示为UCF与SDC的约束命令比较,可以 ...

  6. 【 Vivado 】工程模式下运用Tcl脚本示范

    以下是一个示例脚本,用于创建项目,添加各种源,配置设置,启动综合和实现运行,以及创建比特流. # Typical usage: vivado -mode tcl -source run_bft_pro ...

  7. 【 Vivado 】Performing System-Level Design Entry(总览)

    目录 Automated Hierarchical Source File Compilation and Management RTL Development RTL Elaboration and ...

  8. 【 Vivado 】使用工程模式

    目录 Overview Project Mode Advantages Creating Projects Different Types of Projects Managing Source Fi ...

  9. 【Vivado】clock ip核的使用

    1.绪论 ​ Clock在时序逻辑的设计中是不可或缺的,同时对于Clock的编写和优化也能体现一个FPGA工程师的技术水平,Clock的分频,倍频在设计项目时都有可能用到,对于分频,可以通过代码的方式 ...

最新文章

  1. Sql Server实用操作-动态sql语句基本语法
  2. 点击定位到指定位置_以三菱PLC来举例说明相对定位与绝对定位指令
  3. 某知名大学学生毕业设计,Java学好了就是厉害
  4. 2015年职称计算机考试大纲,2015年职称计算机考试photoshop练习题6
  5. 为什么1900年不是闰年
  6. 如何用杠铃策略,构建你的“反脆弱性”
  7. 华为鸿蒙测试结果,华为鸿蒙OS系统测试结果相继出炉!果然没让花粉们久等:最好的体验...
  8. 如何查看服务器账户密码信息文件,如何查询服务器的数据库账号密码
  9. Java基础总结之(面试)
  10. 记事本之修改非txt文档
  11. 我又踩坑了!如何为 HttpClient 请求设置 Content-Type 标头?
  12. pl/sql 中变量定义范围的小例子:
  13. 机器学习 Machine Learning- 吴恩达Andrew Ng 第21~25课总结
  14. 苹果MacOS系统换壁纸的方法
  15. 谷歌地球(Google Earth) 7.3.2.5776
  16. ssm mysql项目实战_SSM 项目实战
  17. 信息收集之谷歌hacker
  18. css裁剪图片 clip-path
  19. IEC60870-5-101基本远动任务通信协议测试方法
  20. 路由器找不到拨号服务器,路由器设置宽带拨号无法上网 怎么办?

热门文章

  1. 简单截屏恶搞程序(客户端+服务端)
  2. 电脑的基础术语_运营小白,你应该知道的基础专业术语
  3. JavaScript中的load事件的作用_史上最全的web前端面试题汇总及答案JavaScript之二(二)...
  4. rcnn 改进mask_李沐团队提出最强ResNet改进版,多项任务达到SOTA | 已开源
  5. gin context和官方context_gin 源码阅读(二) 路由和路由组
  6. python文件安全传输系统_安全的directconnect文件传输程序
  7. mfc编辑框显示数据_Excel表格技巧—Excel表格中怎么给数据分等级
  8. 'python program'.count('p')的值是_如何用Python分析泰坦尼克号生还率?
  9. 943c语言,考研备战:华南理工大学943计算方法(含C语言)复试大纲_跨考网
  10. 利用OpenCV进行图像的轮廓检测