存储器是一个寄存器数组。存储器使用如下方式说明:

reg [ msb: 1sb] memory1 [ upper1: lower1],
     memory2 [upper2: lower2],. . . ;
     例如:
     reg [0:3 ] MyMem [0:63]
     //MyMem为64个4位寄存器的数组。
     reg Bog [1:5]
     //Bog为5个1位寄存器的数组。
     MyMem和Bog都是存储器。数组的维数不能大于2。注意存储器属于寄存器数组类型。线网数据类型没有相应的存储器类型。
       单个寄存器说明既能够用于说明寄存器类型,也可以用于说明存储器类型。

parameter ADDR_SIZE = 16 , WORD_SIZE = 8;
     reg [1: WORD_SIZE] RamPar [ ADDR_SIZE-1 : 0], DataReg;

RamPar是存储器,是16个8位寄存器数组,而DataReg是8位寄存器。
       在赋值语句中需要注意如下区别:存储器赋值不能在一条赋值语句中完成,但是寄存器可以。因此在存储器被赋值时,需要定义一个索引。下例说明它们之间的不同。

reg [1:5] Dig; //Dig为5位寄存器。
     . . .
     Dig = 5'b11011;

  上述赋值都是正确的, 但下述赋值不正确:

reg BOg[1:5]; //Bog为5个1位寄存器的存储器。
     . . . 
     Bog = 5'b11011;

  有一种存储器赋值的方法是分别对存储器中的每个字赋值。例如:

reg [0:3] Xrom [1:4]
     . . . 
     Xrom[1] = 4'hA;
     Xrom[2] = 4'h8;
     Xrom[3] = 4'hF;
     Xrom[4] = 4'h2;

  为存储器赋值的另一种方法是使用系统任务:
       1) $readmemb (加载二进制值)
       2) $readmemb (加载十六进制值)
       这些系统任务从指定的文本文件中读取数据并加载到存储器。文本文件必须包含相应的二进制或者十六进制数。例如:

reg [1:4] RomB [7:1] ;
     $ readmemb ("ram.patt", RomB);

Romb是存储器。文件“ram.patt”必须包含二进制值。文件也可以包含空白空间和注释。下面是文件中可能内容的实例。

1101
     1110
     1000
     0111
     0000
     1001
     0011

  系统任务$readmemb促使从索引7即Romb最左边的字索引,开始读取值。如果只加载存储器的一部分,值域可以在$readmemb方法中显式定义。例如:

$readmemb ("ram.patt", RomB, 5, 3);

在这种情况下只有Romb[5],Romb[4]和Romb[3]这些字从文件头开始被读取。被读取的值为1101、1100和1000。
     文件可以包含显式的地址形式。

@hex_address value
     如下实例:
     @5 11001
     @2 11010

在这种情况下,值被读入存储器指定的地址。
       当只定义开始值时,连续读取直至到达存储器右端索引边界。例如:

$readmemb ("rom.patt", RomB, 6);
     //从地址6开始,并且持续到1。
     $readmemb ( "rom.patt", RomB, 6, 4);
     //从地址6读到地址4。

Verilog中memory数据类型相关推荐

  1. Verilog中基本的数据类型

    Verilog中共有19种数据类型. 基本的四种类型: reg型.wire型.integer型.parameter型. 其他类型:large型.medium型.small型.scalared型.tim ...

  2. 软硬件学习记录5—— Verilog语言中的数据类型

    Verilog语言中的数据类型 在 Verilog 语言中,主要有三大类数据类型:寄存器数据类型.线网数据类型和参数数据类型. 1.寄存器类型 reg 1.1 概述: 寄存器数据类型的关键字是 reg ...

  3. 萌新食用:Verilog中wire和reg数据类型的区别

    萌新食用:Verilog中wire和reg数据类型的区别 最近恰好在学习数电相关知识扯到Verilog中的wire与reg类型数据的区别: 总的来说,其实就一句话:wire相当于物理连线,而reg相当 ...

  4. Verilog 中 wire 和 reg 数据类型区别

    两者差别很大,完全不能取消. 在Verilog中,wire永远是wire,就是相当于一条连线,用来连接电路,不能存储数据,无驱动能力,是组合逻辑,只能在assign左侧赋值,不能在always @ 中 ...

  5. Verilog初级教程(14)Verilog中的赋值语句

    文章目录 前言 正文 合理的左值 过程性赋值(Procedural assignment) 连续赋值 过程连续性赋值 往期回顾 前言 何为赋值语句?即将值放到线网或者变量上,这种操作称为赋值,英文:a ...

  6. c语言中浮点数和整数转换_C中的数据类型-整数,浮点数和空隙说明

    c语言中浮点数和整数转换 C中的数据类型 (Data Types in C) There are several different ways to store data in C, and they ...

  7. FPGA的设计艺术(18)如何使用Verilog中的数组对存储器进行建模?

    前言 Verilog中的二维数组很有用,可以使用for以及generate for配合二维数组进行使用,可以代替大量寄存器的场合,其实大量同类寄存器可以使用存储器进行代替,Verilog中可以使用二维 ...

  8. Verilog初级教程(21)Verilog中的延迟控制语句

    文章目录 前言 正文 延迟控制语句 事件控制语句 Named Events Event or operator 往期回顾 参考资料及推荐关注 前言 Verilog中的延迟控制有两种类型–延迟和事件表达 ...

  9. Verilog初级教程(20)Verilog中的`ifdef 条件编译语句

    文章目录 前言 正文 语法 示例 Testbench文件 往期回顾 参考资料及推荐关注 前言 `ifdef条件编译语句在逻辑设计中还是很常见的,但也常见一些滥用的情况,这应该避免. 应该在什么情况下使 ...

最新文章

  1. 转:[大数据竞赛]协同过滤在这个问题上是否work
  2. 万恶之源:C语言中的隐式函数声明
  3. java垃圾回收机制串行_Java垃圾回收机制
  4. u盘复制不进去东西_禁止U盘拷贝,再也不让别人复制你电脑里的东西了!
  5. Asp.net就业课之Ado.net第一次课
  6. [禅悟人生]尊严非席, 不可卷起
  7. Golang包管理工具之govendor的使用
  8. python有道云笔记_你很需要的,一个一键导出「有道云笔记」所有笔记的功能
  9. 特斯拉起诉小鹏汽车员工窃取 30 多万份商业机密,何小鹏回应
  10. 很久的东西-也有价值
  11. bzoj 1787 bzoj 1832: [Ahoi2008]Meet 紧急集合(倍增LCA)
  12. 【转】鼠标右键菜单设置大全
  13. 换机潮爆发,5G手机+5G超级SIM卡成趋势
  14. 055.Javascript初探
  15. 开源了自己开发的后台管理系统
  16. hudson.plugins.git.GitException
  17. 夫妻财产公证有法律效力吗
  18. 放弃数学专业跳槽高薪行业,如今他却后悔了
  19. android线程间通信的几种方法_Android进程间和线程间通信方式
  20. WordPress如何调用其他网站的最新文章

热门文章

  1. 一些信号与自己的卷积结果
  2. 第十五届全国大学生智能汽车竞赛全国总决赛提交技术报告通知
  3. python读取配置文件存在某配置_Python读取配置文件(config.ini)以及写入配置文件
  4. 魅族适配鸿蒙吗,魅族智能生活发布会新增看点:接入鸿蒙操作系统
  5. python异常处理类源码_Flask源码异常处理问题
  6. 显示计算机硬盘驱动器更改,笔记本硬盘驱动器的字母怎么修改?笔记本修改硬盘驱动器字母的方法...
  7. vue 拍照人脸识别_安排上了!PC人脸识别登录,出乎意料的简单
  8. html css3d效果,html,css的3D变形
  9. 消息云服务器,对方启用云消息服务器
  10. zabbix linux网卡流量,如何使用zabbix3.2监控Windows网卡流量