记录一些常用的IC术语

软件篇:

EDA Electronic Design Automation 电子设计自动化,IC设计流程中需要使用非常多的EDA工具

VCS synopsys公司的数字前端仿真工具

Verdi synopsys公司的数字前端debug工具

FSDB常用的波形文件格式,用Verdi打开

VCD value change dump 一个通用的波形文件格式,信息详细,但文件较大

DC design compiler synopsys公司的数字综合工具

ICC IC Compiler synopsys公司用于自动布局布线的一款软件,很多公司都在用

INNOVUS cadence公司的数字版图实现工具、

GDSII 版图layout的文件格式

PT prime time synopsys公司的静态时序分析工具

Vivado Vivado FPGA厂商赛灵思公司2012年发布的集成设计环境

NCSIM cadence公司的数字前端仿真工具

Modelsim mentor公司的数字前端仿真工具,也叫QUESTASIM

Tessent mentor公司的DFT工具,市场占有率很高

语言篇:

Shell 常用的一种脚本语言,和linux结合紧密

Python 常用的脚本语言,现在在人工智能方面使用很多,大受欢迎

Perl 常用的一种脚本语言,非常适合文本处理

TCL 工具命令语言,调度各个软件的脚本语言

Verilog 硬件描述语言

SystemVerilog 芯片验证语言

协议篇

APB Advanced Peripheral Bus ARM公司推出的AMBA总线规范之一,主要用于低带宽的外设之间的连接

AHB Advanced High-Performance Bus ARM公司推出的AMBA总线规范之一,主要用于高性能模块之间的连接

AXI Advanced eXtensible Interface ARM公司推出的AMBA总线规范之一,一种面向高性能、高带宽、低延迟的片内总线

GPIO General Purpose Input Output 通用输入/输出,总线扩展器

HDMI High Definition Multimedia Interface 高清晰度多媒体接口,是一种数字化视频/音频接口技术规范

SPI Serial Peripheral Interface 串行外设接口,是一种高速的,全双工,同步的通信总线

I2C Inter-Integrated Circuit I2C是一种常用的多向控制总线,只有两根线

UART Universal Asynchronous  Receiver/Transmitter 通用异步收发传输器,一种常见的IP模块

CAN Controller Area Network ISO国际标准化的串行通信协议

MIPI Mobile Industry Processor Interface 移动产业处理器接口,为移动应用处理器制定的开放标准和一个规范

OCP Open Core Protocol 一个高效的、总线独立的、可配置和高度可扩展的接口协议

PCIe Peripheral Component Interconnect Express 外设组件互连标准,一种常见的总线标准

USB Universal Serial Bus 通用串行总线,一种高速的连接外设的总线协议

芯片篇

IC Integrated Circuit 集成电路

LSI Large-scale integrated circuit 大规模集成电路

VLSI Very-large-scale integration超大规模集成电路

ASIC Application Special Integrated Circuit 专用集成电路,芯片设计公司的主流设计流程

FPGA Field Programmable Gate Array 现场可编程门阵列,与ASIC流程相对应

SoC System on Chip 片上系统,一般指规模比较大的芯片,大多含有CPU/MCU等

MCU Microcontroller unit 微控制器,主控模块

DSP Digital Signal Processing 数字信号处理模块, IC设计公司的算法实现经常采用

CPLD Complex Programmable Logic Device 复杂可编程器件,和FPGA类似

IP Intellectual Property 知识产权

FE Front End 前端,IC设计中的前端设计流程

DV Design Verification 验证,IC设计中的验证流程

BE Back End 后端, IC设计中的后端设计流程

FULLCHIP fullchip level 常用于数字前端设计和验证,指系统级和芯片级

GLS gate-level simulation 指数字验证中的门级仿真

LPS low power simulation 低功耗仿真,多用于低功耗设计验证中

FM formal 形式验证,网表与verilog进行比较

STA Static Timing Analysis 静态时序分析,数字IC设计流程中的重要环节

Netlist 门级网表,一般是RTL Code经过综合工具生成的网表文件

ECO Engineering Change Order 在项目后期,只能在门级对芯片设计进行修改

DFT Design for Test 为了增强芯片可测性而采用的一种设计方法,是数字IC流程中的重要步骤

ATPG Auto Test Pattern Generator 测试向量自动生成工具, DFT中的常见流程

BIST Build in System Test 内建测试系统,DFT中的常见流程

JTAG Joint Test Action Group 联合测试工作组,是一种国际标准测试协议,多用于芯片测试用

CTS Clock tree synthesis 时钟树综合,是数字后端实现中的重要流程

PD Physical design 物理设计,一般指数字后端的版图设计

PV Physical verification 物理验证,数字版图实现后需要做的验证

APR Auto place and route 自动布局布线,是数字后端版图实现的主要流程

NDR Non-Default Route 非默认连线规则,版图实现中的重要概念

Layout 版图,指芯片最终生成的版图,类似于建筑行业中的设计图纸

ERC Electronic Rule Check IC设计经过Layout后检查其版图是否符合电气规则

LVS Layout versus Schematic 版图与电路图一致性检查,变成版图后检查其版图与门级电路是否一致

DRC Design Rule Check 生成版图后检查其是否符合工艺厂提供的设计规则,如宽度、间距、面积等

signoff 验收机制,验收标准

Tapout 流片,将最终的版图文件送到工艺厂去生产

DAC Digital to Analog Convert 数字信号到模拟信号的转换电路

ADC Analog to Digital Convert 模拟信号到数字信号的转换电路

CAD Computer-Aided Design 计算机辅助设计,专门帮助提供软件自动化

CDC clock domain crossing 异步时钟时序检查,是数字设计中的重要步骤

DMA Direct Memory Access 直接内存存取

RAM Random Access Memory 随机存储器

ROM Read Only Memory 只读存储器,具有非易失性

EEPROM Electrically Erasable Programmable Read-Only Memory 电可擦除只读存储器

DRAM Dynamic Random Access Memory 动态随机存取存储器,最为常见的系统内存

SRAM Static Random Access Memory 静态随机存取存储器

FLASH Flash EEPROM Memory 闪存,同时具有RAM快速读取数据的特点

LUT Look Up Table 查找表,用于存一些数据,本质就是一个RAM

IEEE Institute of Electrical and Electronics  Engineers 电气和电子工程师协会

SPEC specification 说明书,产品规范,每个岗位工程师都要写相应的spec

RTL Register Transformation Level 寄存器传输级,多指使用verilog来描述的层次

DUT design under test 待测试的设计模块

DUV design under verification 和DUT的意思类似

Testbench 测试平台,数字验证搭建用来测试的平台

UVM Universal Verification Methodology 主流的数字验证方法学,基于systemverilog

REGRESSION 回归测试,简单来说就是讲所有的测试用例不断的重复的跑,直到没有错误稳定一段时间

COVERAGE 覆盖率,数字验证常用术语,主要有代码覆盖率和功能覆盖率等

IC研发常用英文术语缩写相关推荐

  1. 信息系统项目管理师-常用英文术语整理

    场景 在备考阶段,英文练习应当把主要精力集中在项目管理领域的英文术语,特别是九大知识领域有关的知识. 注: 博客: https://blog.csdn.net/badao_liumang_qizhi ...

  2. 【Programming】编程常用英文术语中文对照,及其解释

    Motivation 最近开始看Berkeley的CS 61A回炉重造一下自己的编程.发现有一些提到的英文术语一下子脑子里反应不过来对应的中文解释,于是想记录一下方便自己也方便后来人学习. 术语 fu ...

  3. 权重 缩写 英文_常用英语术语缩写--采购

    Explanation For Short( 常用术语表 ) ITEM For Short | Full Name Explanation Remark 项目 英文缩写 英文全名 中文解释 备注 营销 ...

  4. PMP 英文术语缩写

    缩写 英文 中文 AC Actual Cost 实际成本 ACWP Actual Cost of Work Performed 已完工作实际成本 BAC Budget At Completion 完工 ...

  5. 游戏中常用英文术语(动态更新)

    DPS:每秒伤害输出(DamagePerSecond):DOTA中后期型半后期型英雄(游戏前半期这种角色威力小,后期发挥重要作用,用于团队合作不错):魔兽世界中的伤害输出者(比如远程弓箭手,在多人合作 ...

  6. ACM常用英文术语翻译

    abbreviation省略;缩写 adjacent sequence elements相邻的元素串 algebraic term代数项 alphabetical order字典序 alternate ...

  7. 软件英文术语缩写大全

    ADE:Automatic Development Environment 自动开发环境 IPE:Integrative Programming Environment  集成化程序设计环境 DBMS ...

  8. IT常用英文术语解释发音

    associations 联系; 协会( association的名词复数 ); 联合; (思想.感觉.记忆等的) 联想; 按色赛诶神 == desktop ˈdesktɒp  的思克头铺 英[ˈde ...

  9. IC设计前后端常用的英文术语

    英文缩写 英文全称 ADC-Analog to Digital Convert>>>模拟信号到数字信号的转换电路 AHB-Advanced High Performance Bus& ...

最新文章

  1. 限制程序只打开一个实例(转载)
  2. python列表操作程序_Python列表操作,比较常见的10个问题
  3. 你要练神功,就应该先自宫,对不?
  4. 13 年 29 款手机,从激进到求稳,iPhone 都经历什么?
  5. 真强啊!建议每一个打算学Java的人都来看看!
  6. EPUB电子书专用阅读软件
  7. W3Cschool离线文档
  8. Matlab画图常用的指令是啥,matlab画图常用命令
  9. Maxwell安装、配置、脚本制作
  10. JavaScript 原型
  11. sql语句中的right函数
  12. 使用Clang作为编译器 —— Clang 中的Diagnostic flags
  13. 高德地图,坐标转换,自定义导航路线
  14. span元素与块级元素(div、p)位于同一行时的垂直居中问题
  15. 【好消息】高录用、EI检索会议 | 2023年第二届电子信息工程、大数据与计算机技术国际学术会议(EIBDCT 2023)
  16. linux PCIE驱动开发
  17. BILSTM原理介绍
  18. docker跨主机网络——overlay与macvlan
  19. Java:apache.poi读写Excel文件
  20. 实习证明| 大数据在线实习项目意义

热门文章

  1. 星秒加入低速无人驾驶产业联盟
  2. 回炉再造,灵活的 YMenuView 2.0 诞生
  3. 中创人民云|党管数据是如何保证国家数据安全的
  4. Jupyter Notebook数据格式解析
  5. 【C++笔记三】- 英语相关/英语工具
  6. 异构网络环境matlab搭建代码,异构接入matlab
  7. 给你的博客添加百度收录查询(附Serverless API搭建
  8. 数据导出为 .SAV文件到SPSS软件进行分析的解决过程
  9. 「民工哥技术之路」截止2019年12月31日所有文章集合
  10. 中南民族大学数字电路实验一