【VHDL】半减器和全减器的设计
题目描述:
设计一位半减器,然后利用元件方法设计一位全减器
半减器源代码:
library ieee;
use ieee.std_logic_1164.all;
entity h_suber is
port ( x,y:in std_logic;
diff,s_out:out std_logic);
end;
architecture one of h_suber is
begin
process(x,y)
begin
diff <= x xor y;
s_out <= (not x) and y;
end process;
end;
全减器源代码:(全减器的实现可以调用半减器的代码,所以要将他们放在同一工程文件下)
library ieee;
use ieee.std_logic_1164.all;
entity fullsub is
port (xin,yin,sub_in:in std_logic;diffr,sub_out:out std_logic);
end;
architecture one of fullsub is
component h_suber
port (x,y:in std_logic;
diff,s_out:out std_logic);
end component;
signal c,d,e:std_logic;
begin
u1:h_suber port map(x => xin,y => yin,diff => c,s_out => d);
u2:h_suber port map(x => c,y => sub_in,diff => diffr,s_out => e);
sub_out <= d or e;
end;
波形图如下:
【VHDL】半减器和全减器的设计相关推荐
- EDA技术与应用上机任务 电子信息类 Quartus II或Quartus Prime D触发器、半减器、全减器、可加减控制的50进制加减计数器。
EDA技术与应用上机任务书 上机目的 熟悉掌握Quartus II或Quartus Prime开发工具的使用. 掌握利用硬件描述语言(Verilog)设计简单程序的步骤及方法. 掌握若干组合逻辑电路及 ...
- Verilog 半减器和全减器
系列目录 Verilog 半加器和全加器 Verilog 半减器和全减器 串行加法器 11位全加器verilog设计 目录 系列目录 文章目录 前言 一.什么是半减器和全减器 半减器 全减器 二.半减 ...
- 【FPGA】Verilog 实践:半加器与全加器 | 半减器与全减器 | Code Converter
写在前面:本章主要理解加法器和减法器的概念,并了解 Code converter 的概念.使用 Verilog 实现多种加法器.减法器和代码转换器,通过 FPGA 验证 Verilog 实现的电路的行 ...
- 【VHDL】【作业】2八选一、半减器、全减器
[VHDL][作业]2 基于CASE语句的4选1多路选择器表述 文章目录 [VHDL][作业]2 基于CASE语句的4选1多路选择器表述 前言 1.八选一多路选择器 仿真波形 2.半减器 a.什么是半 ...
- 【VHDL】半减器 and 或门 组成 全减器
建议先把课本上的 半加器与全加器理解一下,再来写 半减器和全减器 点这里查看 哔哩哔哩上的视频 <半加器与全加器>(讲得挺清晰的) 点这里查看 哔哩哔哩上的视频 <什么是全减器> ...
- (45)VHDL实现算术器
(45)VHDL实现算术器 1.1 目录 1)目录 2)FPGA简介 3)VHDL简介 4)VHDL实现算术器 5)结语 1.2 FPGA简介 FPGA(Field Programmable Gate ...
- 序列信号产生器的verilog HDL 设计
一.状态转移型的序列信号产生器的verilog HDL 设计 用一个不断循环的状态机,循环产生序列信号001011.过程过于简单,我就不画状态图了. 直接给出verilog HDL设计代码: //有限 ...
- VHDL基本门电路和数值比较器的设计
主要内容:利用VHDL设计基本门电路和数值比较电路模块,并使用EDA 工具对各模块进行仿真验证.基本门电路模块中包含与门.或门.异或门等6个基本电路.数值比较器模块用来实现两个数值比较,结果用特定的二 ...
- 设计灵感|音乐播放器App界面如何设计?
音乐播放器 App 界面要怎么设计?来看看集设网精选的 12 款移动端音乐播放器,学习一下如何设计出一个易用性和交互性良好.设计感受舒适.展示层级清晰的界面. 音乐播放器App界面如何设计? - 集设 ...
- 流行和声(7)min7b5/半减七和弦
流行和声(7)min7b5/半减七和弦 构成:1.b3.b5.b7 不能省略五音 张力音以及命名 b9音.9音.11音.b13音(从洛克利亚调式来) 随着张力音的出现, Cm7b5:1.b3.b5.b ...
最新文章
- Kotlin on Android 开发环境介绍
- EXCEL如何验证重复数据?
- python requests get请求_python+requests+new——get请求各种情况
- Angular NgModule里定义的注解和NgModuleRef$1运行时
- “约见”面试官系列之常见面试题第十三篇之css动画效果(建议收藏)
- 基于Django的博客系统
- 激活BI Content
- One Button Combat
- android NDK 开发
- Ubuntu和windows下修改hosts
- java解压zip和rar文件
- 编程语言应该如何选择?
- STM32单片机介绍2
- There is no index in the referenced table where the referenced columns appear as the first columns.
- 小学期c语言电脑的总结,小学期实践报告和总结
- 2021年高考成绩查询湖南电信,湖南省通信管理局关于开展2021年全省电信网码号资源年报和检查工作的通知...
- 宇视科技android面试_宇视科技初面
- 九招使用 Telegram必学,使用Telegram 必看实用功能
- 2022.01.29
- arduino十进制转十六进制