1.数字系统:处理二进制离散信息的系统

2.数制与数制转换

进位计数制:用进位的方法进行计数

  1. 十进制(0, 1, 2, 3, 4, 5, 6, 7, 8, 9)
  2. 二进制(0, 1)
  3. 八进制(0, 1, 2, 3, 4, 5, 6, 7)
  4. 十六进制(0, 1, 2, 3, 4, 5, 6, 7, 8, 9, A, B, C, D, E, F)

数值转换

  1. n进制转换为十进制:按权展开法
  2. 十进制转换为n进制:整数部分连乘法(除n取余逆序写),小数部分连乘法(称n取整顺序写)

二进制算术运算

  1. 二进制加法:
    (1) 0 + 0 = 00
    (2) 1 + 0 = 01
    (3) 0 + 1 = 01
    (4) 1 + 1 = 10
  2. 二进制减法
    (1) 0 - 0 = 00
    (2) 1 - 1 = 00
    (3) 1 - 0 = 10
    (4) 10 - 1 = 1
  3. 二进制乘法
    (1) 0 * 0 = 0
    (2) 0 * 1 = 0
    (3) 1 * 0 = 0
    (4) 1 * 1 = 1
  4. 补码的计算
    (1)正数的补码等于原码
    (2)负数的补码等于原码取反后加1

3.二-十进制码(BCD码):用4位二进制码来表示一位十进制数字

有权码:

  1. 8421码
  2. 5421码
  3. 242

(一)数字逻辑基础1相关推荐

  1. 01笔记 数字逻辑基础——逻辑代数基础——基于《数字逻辑基础》陈光梦(第三版)

    一些概念 集成电路分类 ①模拟集成电路,处理连续信号 ②数字集成电路,处理离散信号 数字集成电路分类 逻辑集成电路 储存器 ASIC(Application Specific IC) 特点 信号表示形 ...

  2. 数字逻辑基础:数制与码制之计数进位制

    数字逻辑是一门计算机专业基础课,是计算机组成原理的先导课程. 下面为大家介绍数字逻辑中的计数进位制,欢迎大家学习交流,如有错误,欢迎批评指正. 欢迎和我私信交流,一起学习进步吧! 本文章建议关闭手机深 ...

  3. 数字逻辑基础:数制与码制之数制转换

    数字逻辑是一门计算机专业基础课,是计算机组成原理的先导课程. 下面为大家介绍数字逻辑中的数制转换,欢迎大家学习交流,如有错误,欢迎批评指正. 欢迎和我私信交流,一起学习进步吧! 本文章建议关闭手机深色 ...

  4. 数字逻辑基础:数制与码制之数码和字符的代码表示

    数字逻辑是一门计算机专业基础课,是计算机组成原理的先导课程. 下面为大家介绍数字逻辑中的数码和字符的代码表示,欢迎大家学习交流,如有错误,欢迎批评指正. 欢迎和我私信交流,一起学习进步吧! 本文章建议 ...

  5. 【梳理】数字设计基础与应用 第1章 数字逻辑基础 1.3 逻辑代数基础 1.4 逻辑函数的表述方式

    教材:数字设计基础与应用 第二版 邓元庆 关宇 贾鹏 石会 编著 清华大学出版社 源文档高清截图在后 1.3逻辑代数基础 1.逻辑代数(logic algebra)又称布尔代数(Boolean alg ...

  6. IC验证必备的数字电路基础知识(一):数字逻辑基础

    参考教材:数字电路与逻辑设计(第2版)邬春明 目录 1. 数制和编码 1.1. 数制 1.2. 原码,反码,补码 1.3. 常用编码 2. 逻辑代数和运算 2.1. 逻辑变量与逻辑运算 2.2. 逻辑 ...

  7. 数字逻辑基础与verilog设计_数字电路学习笔记(五):逻辑设计基础

    马上就要正式进入电路设计了,再来看最后一个知识点:逻辑设计吧. 之前我们花了两章,探讨了逻辑运算是什么,怎么算:但还有最后一个大问题,巧妇难为无米之炊,我们得先有一个逻辑式,才能对它化简,并基于结果做 ...

  8. 数字逻辑基础实验二—时序逻辑电路的设计

    实验目的 (1)掌握中规模集成寄存器构成的时序逻辑电路的设计方法. (2)掌握中规模集成计数器设计N进制计数器的方法. (3)学会用时序功能器件构成综合型应用电路. 实验电路 图 2-1红绿灯电路 实 ...

  9. 读书笔记:数字逻辑基础与verilog设计之数字系统设计流程03----------二进制除法器电路设计

    除法器(除法算法)是一类算法.给定两个整数 N(分子)和 D(分母),计算它们的商和(或)余数.其中某些算法可以通过人工手动计算,而另一些则需要依赖数字电路的设计或软件.[1] 除法算法主要分为两类: ...

最新文章

  1. 对于注册中心,ZooKeeper、Eureka 哪个更合适?
  2. 使用 VirtualBox + Vagrant + 宝塔 Linux 面板搭建本地虚拟开发环境
  3. sql server2008中左连接,右连接,等值连接的区别
  4. 最后一期:如何更新LSTM模型?(附代码)| 博士带你学LSTM
  5. Spring Boot日志集成
  6. 使用FgSegNet进行前景图像分割
  7. ios 检测是否联网_秋招|阿里 iOS 五轮面经分享,已收到阿里的意向书
  8. php 掌握jquery,完全掌握jquery tmpl模板
  9. 引用自己创建的css样式表_如何使用CSS创建联系表
  10. 大数据的下一站是什么?服务/分析一体化
  11. python matpoltlib绘制动态图_使用Python、Geopandas和Matplotlib制作gif动态
  12. java获取泛型的值_java 反射之获取泛型对象的所有字段与对应的值(包括父类的)...
  13. matlab结果导入ug,matlab与UG数据交换.docx
  14. mui 与vue 混合开发的可行性分析
  15. Java实现隐藏文件夹
  16. Android开发笔记(一百八十一)使用CameraX拍照
  17. educoder——面向对象程序设计java——实验实训——实验二 - 面向对象
  18. mac php pear pecl,mac 安装 pecl pear
  19. 手把手教linux设备驱动,手把手教你写Linux设备驱动---定时器(一)(基于友善之臂4412开发板)...
  20. Oracle 中select XX_id_seq.nextval from dual 什么意思呢?

热门文章

  1. Html5-画布(canvas)之常用绘图方法介绍
  2. ZYNQ开发记录-芯片简介
  3. STM32CubMX自学笔记(十)---IIC通信实验
  4. 债市大数据:跟着牛熊配资产
  5. java获取大小周优化
  6. Dota2 参议院java
  7. YOLOV5目标检测---labelimg图片标注工具(1)
  8. OK1046A-C2实现路由功能,一个网口作为WAN口,四个网口作为LAN口
  9. 移动硬盘识别不出来怎么办?
  10. 被售楼处人脸识别拍到,买房多花30万?专家:涉嫌侵犯消费者权益