MSK调制MATLAB仿真程序
MSK为相位连续的FSK调制,其基本原理如图所示:

方案一:按照上图的流程编写代码:

根据上图a和d两组码元的关系,不难看出这一部分应属于差分编码,在调制系统中,有两类调制需要用到,一个是DPSK,另一个就是MSK,仔细观察两种调制的关系,可以发现,不是同一种差分编码,而且好多资料里也写的是MSK差分编码是a(i)和d(i-1)异或。其实不是这样,正确关系应该是d(1)=a(1);d(i)是a(i)和d(i-1)同或结果。这里可以去书上查看相应a和d组码元,自己计算一下就明白了。

%方法一:计算相位变量
c=zeros(1,length(a));
c(1)=0;
for i=2:length(a)
if a(i)==a(i-1)
c(i)=c(i-1);
else a(i)~=a(i-1);
c(i)=c(i-1)+(a(i-1)-a(i))*((i-1)pi/2);
end
end
I=cos©; %I(k)
Q=a.
cos©; %-Q(k)
%扩展码元,每个码元采样点数为number1,一共number个码元
I1=zeros(1,numbernumber1);
Q1=zeros(1,numbernumber1);
for i=1:number;
I1((i-1)number1+1:inumber1)=I(i);
Q1((i-1)number1+1:inumber1)=Q(i);
end

%加权系数
t1=1/fs:1/fs:length(a)tb;
I=I1.
cos(pit1/2/tb);
Q=Q1.sin(pit1/2/tb);
I和Q的波形如图所示:

然后进行中频搬移即可:
multi=4;
I=interp(I,multi);
Q=interp(Q,multi);
t=1/fs:1/fs:length(I)1/fs;
I=I.
cos(2pifct);
Q=Q.sin(2pifct);
out=I-Q;

方案二:利用ak和相位的联系,如下图:

for i=1:number1
data_sample(i:number1:numbernumber1)=a;
end
%计算相位
phase=zeros(1,number
number1);
phase(1)=0;%phase(1)=data_sample(1)pi/2/number1;
for i=2:number
number1
phase(i)=phase(i-1)+data_sample(i-1)pi/2/number1;
end
%phase=phase+(0-phase(1));
I=cos(phase);
Q=sin(phase);
[r1,r2]=fen(a);
r(1)=-r2
pi;
for i=2:(r1+r2)2+1
r(i)=-r2
pi+(pi/2)(i-1);
end
figure;
subplot(2,1,1);stem(a);axis([0,length(a)+1,-2,2]);grid;
subplot(2,1,2);plot(phase);grid;
set(gca,‘YTick’,-r2
pi:pi/2:r1*pi);
set(gca,‘YTickLabel’,{r/pi});
title(‘相位图’);ylabel(‘pi’);
结果如图所示:

然后再进行调制。
关于这一部分,有的资料上计算相位phase时,初始化令phase(1)=data_sample(1)*pi/2/number1;
我仿真之后发现是有误差的,I和Q路的图像也是有误差,经过仔细检查发现,这里phase(1)=0,也就是第一个相位值应该为1。具体可以自己仿真一下试试。

MSK调制研究以及MATLAB实现相关推荐

  1. msk误码率 matlab仿真,GMSK调制解调的MATLAB仿真与误码率分析.pdf

    GMSK调制解调的MATLAB仿真与误码率分析 67 第34卷 第2期 <新疆师范大学学报>(自然科学版) Vol.34,No.2 2015年6月 Journal of Xinjiang ...

  2. matlab实现MSK调制解调

    文章目录 一.要求 二.设计 三.代码 四.结果 五.结论 一.要求 画出MSK信号产生的信息.I路.Q路信号对比图以及接收端解调的信息恢复的对比图. 二.设计 1.MSK调制 2.MSK解调 三.代 ...

  3. m基于FPGA的MSK调制解调系统verilog开发,并带FPGA误码检测模块和matlab仿真程序

    目录 1.算法描述 2.仿真效果预览 3.Verilog核心程序 4.完整FPGA 1.算法描述 整个模型的基本框图为 软件无线电是现代通信技术的重要研究领域和发展方向,目前发展迅速.快速发展的软件无 ...

  4. msk 频偏_基于MSP430的MSK调制解调实现.doc

    PAGE 哈尔滨工程大学本科生毕业论文 基于MSP430的MSK调制解调实现 院 (系):信通学院 专 业:电子信息工程 学 号学 生 姓 名:杜兆龙 指 导 教 师:罗天放 副教授 2008年6月 ...

  5. MSK调制原理及框图

    MSK调制原理及框图 频率调制方式中2FSK是最简单的一种,在2FSK调制方式中按相位是否连续分为两种:同时,两个频率信号之间如果频率差值为1/2的码元速率,此时两个信号之间的相互关系数为0,表示两个 ...

  6. msk调制 vhdl_基于FPGA的MSK调制解调器的设计与仿真

    论文编号:JD1172  论文字数:10913,页数:35  附任务书,开题报告,文献综述,外文翻译,中期检查 毕业设计说明书(论文)中文摘要 本文根据数字信号MSK调制和解调的工作原理,采用模块化设 ...

  7. 基于FPGA的MSK调制的仿真

    1.问题描述: 1)  设计和验证适合FPGA实现的MSK调制和解调实现方案, 2) MSK系统的发端:含随机数字信息生成模块.MSK调制模块.数模(DA)模块. 3)  MSK系统的收端:含MSK解 ...

  8. MSK调制解调和误码率

    课程设计作业 MSK调制解调 clear all; close all; %% %系统参数设计 T_start=0;%开始时间 T_stop=10;%截止时间 T=T_stop-T_start;%仿真 ...

  9. msk调制 vhdl_MSK调制解调技术的原理及应用分析

    MSK调制解调技术的原理及应用分析 姓名:莫波微班级:05921001 学号:1120101489 MSK是数字调制技术的一种.数字调制是数字信号转换为与信道特性相匹配的波形的过程.调制过程就是输入数 ...

最新文章

  1. 全国计算机等级考试python教材-全国计算机等级考试重大改革!新增Python科目
  2. 算法笔记_120:蓝桥杯第六届省赛(Java语言B组部分习题)试题解答
  3. 背英语单词很困难,不妨学习一下词根词缀吧(每天10个词根、词缀)Part 2
  4. python扫雷游戏课程设计小组任务计划与分配表_python实现扫雷游戏
  5. mybatis、mybatisplus的常用操作
  6. outlook域用户名怎么填_家谱制作软件怎么做成电子版
  7. 将Sublime Text 2配置为C#代码编辑器(附配置文件)
  8. LabVIEW软件、驱动安装及编程方法(理论篇—2)
  9. Verilog 实现千兆网UDP协议 基于88E1111--数据接收
  10. Linux上显示sh-4.2$,笔记四、Linux基础入门
  11. 北上深杭广漂,似曾相识的代码人生
  12. 亲测解决INFO Validating config
  13. MOSFET选型注意事项及应用实例
  14. 【源码】FieldTrip:MEG和EEG分析的MATLAB工具箱
  15. IDL接口定义语言教程
  16. 兔子繁殖问题(python递归解决)
  17. 基因数据处理50之cs-bwamem、bwa、snap、bwa-mem与art比较
  18. 日语语法(一):概述
  19. java 屏蔽广告js_js屏蔽广告
  20. Python拾珍:用这些功能写出更简洁、更可读或更高效的代码

热门文章

  1. Datawhale 7月学习——李弘毅深度学习:回归
  2. 案例借鉴 | 某通讯巨头的IT建设方案
  3. 电商十大暴利产品排名
  4. 如何更改计算机睿频,电脑睿频怎么打开
  5. 用外置显卡跑深度学习的一些注意事项
  6. “华为杯“ 武汉大学21级新生程序设计竞赛
  7. 二,sdio总线简介之Commond
  8. CRM介绍、呼叫中心系统
  9. c语言课程建设与改革,推进课程建设,助力教学改革
  10. 下电影必须知道的电影版本简写