Ubuntu系统下安装cadence设计软件

一、配置
第一步安装操作命令
打开终端(鼠标右键选择打开终端或Ctrl+alt+t打开)
依次输入以下命令(安装需要的操作命令解析器)

1.sudo apt-get install ksh (安装ksh的shell)
2.sudo apt-get install csh (安装csh的shell)
3.sudo apt-get install openjdk-8-jre openjdk-8-jdk (安装openjdk jre)
4.sudo apt-get install xterm (安装xterm终端模拟器)
5.sudo apt-get install libncursesw5-dev (安装libncursesw动态链接库)
6.sudo apt-get install libxtst6:i386
7.sudo apt-get install libxi6:i386

依次输完以上命令可用命令:java -version检查是否安装成功,安装成功如下显示:

第二步执行命令sudo gedit /etc/profile打开profile配置文件,在最后加上如下路径:
export JAVA_HOME=/usr/lib/jvm/java-8-openjdk-amd64 export JRE_HOME=$JAVA_HOME/jre export CLASSPATH=$JAVA_HOME/lib:$JRE_HOME/lib:$CLASSPATH export PATH=$JAVA_HOME/bin:$JRE_HOME/bin:$PATH

加完保存后,执行命令: source /etc/profile 使配置文件生效。

第三步建立Cadence软件依赖库和目录的链接,依次输入以下命令:
1.sudo ln -s /usr/bin/mawk /bin/awk (把awk链接到 /bin 目录)
2.sudo ln -s /usr/bin/basename /bin/basename (把basename链接到 /bin 目录下)
3.sudo ln -s /lib/x86_64-linux-gnu/libncursesw.so.5.9 /lib/libtermcap.so.2 (建立libtermcap软链接)

第四步建立Cadence软件安装文件夹,依次输出以下命令:
按自己需要装的软件建立文件夹
1.sudo mkdir -p /opt/cadence/IC617
2.sudo mkdir -p /opt/cadence/IScape
3.sudo mkdir -p /opt/cadence/Innovus
4.sudo mkdir -p /opt/cadence/license

二、开始安装
首先需要下载安装所需要的软件包,可自行下载需要的软件包,也可下载下面链接的。

链接: https://pan.baidu.com/s/1Pq_ofvvDoV8u5jz1wZyQIg
提取码: eern

可能出现下载下来的安装包不能提取,下载好的安装包是.zip的就没问题,不需要进行后续操作;下载后的安装包要是分成.zip+.part两个文件需要进行合并。
合并zip文件指令:
cat filename.zip* > filename.zip
合并tar.gz文件指令:
cat filename.tat.gz* | filename.tar.gz

以下安装需先解压对应的软件包后再进行

第一步启动IScape
解压InstallScape后,依次输入以下指令:
1.cd /opt/cadence/IScape ( 进入解压后软件包所放的目录)
2.sudo chmod -R 777 /opt/cadence/IScape (更改cadence文件夹的写入权限)
3.sudo zcat IScape04.23-s010lnx86.t.Z | sudo tar -xvf - (解压IScape04.23)
4.cd /opt/cadence/IScape/iscape/bin (进入iscape下bin文件夹)
5.sudo ./iscape.sh (启动IScape安装界面,如下图)


第二步安装IC617
选择菜单栏Preferences - Istallscape

设置 Directories 如下图:
Cache Directory选择的是缓存目录
Default Install Directory选择的是默认安装目录


点OK下一步安装IC617,下载下来的IC06.17.700_Base解压后放在目录里选择

勾选完下一步下一步全选安装

IC617 安装过程中会出现几个弹窗需要确认如下:




第三步安装MMSIM15.1
安装MMSIM15.1的步骤和安装IC617的步骤是一样的,按照安装IC617的安装过程进行安装。
两个都装好如下图:

第四步安装IC617和MMSIM15.1补丁

解压cadence_patch,将里面所有文件放在 cadence 的安装目录下,终端cd进入该工作目录,输入下面命令
1.cd /opt/cadence/(文件存放目录)
2.sudo chmod -R 777 cadence.pat cadence_patch.sh sfk (更改权限)
3.sudo ./cadence_patch.sh /opt/cadence/IC617 (对IC617打补丁)

出现下面问题是 sfk 缺少 libstdc++.so.6,
输入命令下载安装: sudo apt-get install libstdc++6,
sudo apt-get install lib32stdc++6。

4.sudo ./cadence_patch.sh /opt/cadence/MMSIM151 (对MMSIM打补丁)

第五步安装Calibre2015
解压Calibre.2015后,依次输入下面指令:
1.cd /calibre (进入软件包存放目录)
2.sudo mkdir -p /opt/mentor/calibre (新建calibre文件夹)
3.sudo cp aoi_cal_2015.2_36.27_mib.exe /opt/mentor/calibre/ (拷贝软件包到新建文件夹)
4.cd /opt/mentor/calibre (进入calibre目录)
5.sudo chmod -R 777 aoi_cal_2015.2_36.27_mib.exe (更改软件包权限)
6.sudo ./aoi_cal_2015.2_36.27_mib.exe (安装)

进行下图设置:

出现下图问题是因为文件夹权限引起,直接sudo chmod -R 777 /opt/mentor/calibre/install.ixl就可以了。

安装Calibre2015补丁:
解压calibre_patch,将 patch_calibre 和 sfk 这两个文件放在 calibre 的安装目录下执行下面指令:

1.cd /opt/mentor/calibre(进入存放目录)
2.sudo chmod 777 patch_calibre sfk (更改权限)
3.sudo ./patch_calibre aoi_cal_2015.2_36.27 (对calibre打补丁)
缺少 libstdc++.so.5,
输入网址http://free.nchc.org.tw/ubuntu//pool/universe/g/gcc-3.3/libstdc++5_3.3.6-25ubuntu4_i386.deb直接下载安装包安装就可以解决。

第六步安装license
解压cadence_license,calibre_license两个包(解压后任意建个目录放)
输入以下命令:
1.cd /home/yyp/cadence(自己放的目录)
2.sudo chmod -R 777 cadece_license/ (保证licgen.sh和lmcrypt.exe可执行)
3.sudo apt-get install libp11-kit-gnome-keyring:i386 (下载64位系统需要安装32位的library依赖包)
4.cd cadence_license
5.sudo cp license.dat /opt/cadence/IC617/share/license (复制到安装目录)

对于calibre安装license也是一样的,按照上面步骤执行,只是复制到安装目录的位置不一样:/opt/mentor/calibre/aoi_cal_2015.2_36.27/shared/license
这里要是没有安装目录下没有license文件夹,可自己建一个。

第七步启动cadence virtuoso
先下载脚本代码

下载链接: https://pan.baidu.com/s/1LwU_-fLKSRmbSvhc33pxLA
提取码: 9rar

下载好的bashrc放在工程目录下(后期装工艺库的时候要放在工艺库的目录下启动cadence)vi编辑进入bashrc在最后添加代码如下:
1.vi bashrc
export W3264_NO_HOST_CHECK=1
export OA_UNSUPPORTED_PLAT=“linux_rhel50_gcc48x”

改命名为.virtuoso,设置可执行权限,sudo chmod +x .virtuoso。
打开终端输入:. .virtuoso 就可以启动运行了。(. .中间有空格)或用./.virtuoso也可启动。

第八步集成Calibre到cadence菜单栏中使用
下载 Mentor 官方指导文件calibre config,将其中第二部分 Instruction 的代码拷贝到 cadence 运行的工程目录中的 .cdsinit 文件中(与 .virtuoso在同个目录下),再在.virtuoso中添加集成 Calibre 的相关变量路径。

也可以下载我的,我已经拷贝到 .cdsinit文件中。
下载链接: https://pan.baidu.com/s/1m4NMXLjOnSUAcZzwaxcSXw 提取码: piwr
把.cdsinit复制到工程目录下。在.virtuoso中修改对应路径如下图(设置到自己安装的对应路径)


重新启动cadence可以看到calibre已集成到菜单栏中:


安装到这基本上就好了,可以使用cadence软件了。

cadence设计软件安装相关推荐

  1. Axure RP 9.0 原型设计软件安装教程

    关注公众号,免费获取资料   简介: Axure RP是一款专业的快速原型设计工具.Axure(发音:Ack-sure),代表美国Axure公司:RP则是Rapid Prototyping(快速原型) ...

  2. Autodesk Inventor Pro 2023 三维机械设计软件安装说明

    前言 Autodesk Inventor是由美国知名的AutoDesk公司推出的一款三维可视化实体模拟软件,该软件提供了专业级的三维机械设计.文档编制和产品仿真工具,帮助用户轻松进行各类管路系统设计和 ...

  3. Financial Report财务报表设计软件安装与登录

    [前言]使用FR设计财务报表,通常是资产负债表,利润表,现金流量表 [1]下载FR工具 [2]安装后登陆FR,登陆的链接就是界面上的这个 [3]第一次登录要先床啊金数据库连接 加入QQ群共同学习吧

  4. 动画交互设计软件:Principle for Mac

    Mac上好用的动画交互设计软件--Principle for Mac,这款软件的设计动画让交互式用户界面变得容易,支持多种尺寸的原型设计,用很短的时间就可以制作出一个具有完整交互动画的原型,你可以把设 ...

  5. 硬件开发笔记(一):高速电路设计Cadence Aleegro软件介绍和安装过程

    若该文为原创文章,转载请注明原文出处 本文章博客地址:https://hpzwl.blog.csdn.net/article/details/124356904 红胖子(红模仿)的博文大全:开发技术集 ...

  6. 吴川斌cadence安装_第2讲、Cadence17.2软件安装与介绍

    很多人都使用过AD,也知道AD版本更新非常频繁,正常情况下一年更新两次.截至录制本课程,AD最新的版本是AD20(手动狗头).图1:录制本课程,AD最新的版本是AD20 其实AD如此频繁的更新,对初学 ...

  7. 312:软件安装篇——Cadence Orcad Allegro安装/阿狸狗破戒大师方法BY 吴川斌

    312:软件安装篇--Cadence Orcad Allegro安装/阿狸狗破戒大师方法BY 吴川斌 注意,分享的安装包仅限于个人学习研究用途,不得用于任何商业环境,如果工作后发现公司忘了买Licen ...

  8. Cadence 17.2 软件使用(1)— 软件安装

    Cadence 17.2 软件使用(1)- 软件安装 一.软件介绍 参考自:Cadence17.2软件安装与介绍 Cadence 目前使用量比较大的版本是16.6.17.2.Cadence在2019年 ...

  9. 火绒规则 禁止所有软件的安装_十大机械设计软件对比,附所有软件安装资料...

    "UG.Pro/E与CATIA三分天下" "SW才是最方便易用的软件" --    机械设计,最离不开的就是各种软件,设计决定了实际产品的性能,因此需要采用软件 ...

最新文章

  1. 盘点|最实用的机器学习算法优缺点分析,没有比这篇说得更好了
  2. python3入门到精通pdf-总算知道python3入门到精通
  3. HDU 3278 Puzzle
  4. icf表格_ICF企业教练实践大奖案例——建立改变和拯救生命的教练文化
  5. Vue compile - Vue中的双大括号 {{ 是如何被解析(parse)的
  6. python自动填写小程序表单_新年好!教大家用Python写一个自动回复拜年信息的小程序!...
  7. yolov4用1050ti_简单粗暴的多目标跟踪神器 – DeepSort
  8. CSS选择器速记笔记
  9. 火狐浏览器设置url编码_关于不同浏览器对URL编码的分析(转)
  10. Visual C++ 2008入门经典 第九章类的继承和虚函数(二)
  11. 《伟大的小细节:互联网产品设计中的微创新思维》——3.3 位置环境因素
  12. Ubuntu 20.04安装python3.6版本后terminal终端无法打开
  13. #计算长方形的周长和面积公式_探索长方形的面积计算公式教学设计及反思
  14. 嵌入式软件硬件比例_嵌入式软件硬件常识
  15. NDVI 数据处理,及估算植被覆盖度(一)
  16. 视差贴图(Parallax Mapping)
  17. JHU计算机专业学费,约翰霍普金斯大学学费多少 贵不贵
  18. 中国石油大学计算机应用基础在线考试答案,中国石油大学2020年春季《计算机应用基础》在线考试答卷附标准答案...
  19. 用FPGA实现半加器
  20. 一文详解四种经典限流算法,面试必备。

热门文章

  1. 属于多媒体计算机必不可少的配置是,制作多媒体作品选择(答案)
  2. 微信公众号 多台服务器,在多台 Linux 服务器上搭建 Pulsar 集群
  3. php-resque消息队列
  4. 鸿蒙系统开始测试了,华为开始测试鸿蒙系统,百万台华为手机正在测试中,网友:厉害了...
  5. 该战斗的时候战斗,该转身的时候转身,但请保持优雅
  6. 小菜成长记---【proxy 代理模式 ---西门庆干潘金莲——王婆做代理】
  7. 4 数据校验和防碰撞
  8. 2023浙江工业大学计算机考研经验贴
  9. #35-【刷题】乐乐的方块
  10. 计算机伦理的发展,人工智能技术发展的伦理困境研究