Verilog——计数器
时序逻辑代码设计
组合逻辑+触发器=时序逻辑
计数器
组合逻辑:这是一个加法器,做加一计算
Verilog代码:
`timescale 1ns/10ps//写testbench定义时间单位
module counter(clk,//时钟信号res,//复位信号y);
//端口属性
input clk;
input res;
output[7:0] y;//八位计数器//组合逻辑电路
reg[7:0] y;//触发器,定义为reg型,虽然是输出,但是要在always语句里对它赋值,要做reg型变量定义wire[7:0] sum;//+1运算的结果
assign sum=y+1;//组合逻辑部分//触发器工作
always@(posedge clk or negedge res)//敏感变量为时钟和复位沿;
//如果复位信号到来(复位下降沿)
if(~res)beginy<=0;
end
//时钟触发,正常工作时(时钟上升沿)
else beginy<=sum;
endendmodule
测试代码testbench
//-------testbench of counter----
module counter_tb;
reg clk,res;
wire[7:0] y;
//模块例化
counter counter(.clk(clk),//时钟信号.res(res),//复位信号.y(y));//给clk,res赋值
//clock要反复动,不能用initial给clk大量赋值
//使用initial赋初值
initial beginclk<=0;res<=0;//赋初值,复位清零#17 res<=1;//让res不复位,释放复位#6000 $stop;//八位计数器跑两遍需要的时间,到时间调用系统任务结束
end
//clk变化
always #5 clk<=~clk;//每过5ns时钟翻一次,周期为10nsendmodule
Verilog——计数器相关推荐
- verilog 计数器_HDLBits: 在线学习 Verilog ()
本系列文章将向大家推荐一个学习 Verilog 的好去处:HDLBits. HDLBits 在提供 Verilog 基础语法教程的同时,还能够在线仿真你的 Verilog 模块,将你的输出与正确的时序 ...
- verilog计数器
1. 实验目的 (1)深入了解计数器原理 (2)学习使用Verilog实现同步计数器(模八) 2.实验内容 (1)原理描述 计数器的功能是记忆脉冲个数,它是数字系统中应用最为广泛的时序逻辑构件. 下图 ...
- verilog计数器分频
直接上源码: //计数器分频 module clk_gen(input clk,//50MHZinput rst_n,//清零output reg clk_1khz);reg [31:0] cnt;a ...
- Verilog计数器0~9999
题目: Build a 4-digit BCD (binary-coded decimal) counter. Each decimal digit is encoded using 4 bits: ...
- HDLBits答案(11)_Verilog计数器
Verilog计数器 HDLBits链接 前言 今天更新一个小节内容:计数器.计数器可以说是我们接触数字电路以后用的最频繁的模块之一了,无论是项目.应聘还是将来的工作,计数器都无处不在. 题库 题目描 ...
- VCS建立仿真生成DVE波形
一.环境与文件 Linux平台 csh环境 VCS 64bit 代码文件请参考<一个简单的Verilog计数器模型> 二.开始仿真 1.compiler 首先在testbench中加入如下 ...
- [文档].艾米电子 - 二进制计数器及其变体,Verilog
对读者的假设 已经掌握: 可编程逻辑基础 Verilog HDL基础 使用Verilog设计的Quartus II入门指南 使用Verilog设计的ModelSIm入门指南 内容 1 free-run ...
- 【Verilog HDL 训练】第 08 天(二进制、Johnson、环形计数器)
5月6日 计数器 1. 用verilog实现一个4bit二进制计数器. a) 异步复位 b) 同步复位 input clk, rst_n; output [3:0] o_cnt; Verilog实现代 ...
- 模24的8421BCD码计数器(Verilog HDL语言描述)(仿真与综合)
目录 前言 原理 Verilog HDL程序设计 测试代码 仿真波形图 ISE综合后 RTL Schematic Technology Schematic 前言 本博文用Verilog HDL语言描述 ...
- 级联模60计数器(Verilog HDL语言描述)(仿真与综合)
目录 前言 模60计数器 Verilog HDL语言描述 测试文件 仿真波形 RTL Schematic Technology Schematic 前言 看这篇文章前,推荐先看看模10计数器和模6计数 ...
最新文章
- 弗洛伊德算法(Floyd)简介
- 1101 Quick Sort (25 分)【难度: 一般 / 知识点: 快排】
- Android UncaughtExceptionHandler 全局异常监控
- pc css框架,PC端框架—semantic ui
- c语言中线程的特性,具有C 11多线程的特征库
- Java正则表达式实例详解
- newlisp获得bash该命令的退出状态
- EasyUI:中datagrid数据表格的删除、编辑、保存、撤销功能实现
- 环境php5.2+mysql_php环境搭建问题(简单记录二------php5.2不加载mysql扩展)
- 深入浅出数据分析 - 直方图
- Java移位运算符原来这么简单
- 杨永强“兑”现承诺 乐视云发力VaaS
- php与阿里云短信接口接入
- 视觉中国:阶段性内部整改测试已结束,网站并未上线;易通贷平台因涉嫌非法吸收公众存款被立案侦查|嘟头条...
- 17-11-01模拟赛
- Word文档中X的平方怎么打出来?
- [转]在计算机领域做研究的一些想法
- ZZULIOJ1096-1100Python解法
- asciinema终端录屏神器使用及过坑
- 安装纯净版win10系统
热门文章
- RTthread IO设备的创建
- windows 10 主题美化
- 4.郝斌C语言笔记——基本的输入和输出函数的用法
- postman插件4.1.3的安装(亲测,可以用)
- 各省农村人均受教育年限及村委会个数(2011-2019年)
- Java实现多元t分布函数(Multivariate t distributions)
- 栈和队列_入门oj题目练习:1用队列实现栈2用栈实现队列
- Vivado远程编辑与下载
- 不小心删了sxos文件夹_Switch SX OS 精简虚拟系统 教程
- 超级网际搜索(SuperSearch) - 3月30日最新版V5.1.28.94,内置140个搜索引擎