1、异步FIFO简介及其原理

FIFO是英文First In First Out 的缩写,是一种先进先出的数据缓存器,它与普通存储器的区别是没有外部读写地址线,这样使用起来非常简单,但缺点就是只能顺序写入数据。

异步FIFO 是指读写时钟不一致,读写时钟是互相独立的。

1.1 用途

用途1:
  跨时钟域:异步FIFO读写分别采用相互异步的不同时钟。在现代集成电路芯片中,随着设计规模的不断扩大,一个系统中往往含有数个时钟,多时钟域带来的一个问题就是,如何设计异步时钟之间的接口电路。异步FIFO是这个问题的一种简便、快捷的解决方案,使用异步FIFO可以在两个不同时钟系统之间快速而方便地传输实时数据。

用途2:
  位宽变换:对于不同宽度的数据接口也可以用FIFO,例如单片机位8位数据输出,而DSP可能是16位数据输入,在单片机与DSP连接时就可以使用FIFO来达到数据匹配的目的。

1.2 结构


由图可见,异步FIFO的核心部件就是一个 Simple Dual Port RAM ;左右两边的长条矩形是地址控制器,负责控制地址自增、将二进制地址转为格雷码以及解格雷码;下面的两对D触发器 sync_r2w 和 sync_w2r 是同步器,负责将写地址同步至读时钟域、将读地址同步至写时钟域。

FIFO的常见参数

FIFO的宽度:即FIFO一次读写操作的数据位;
FIFO的深度:指的是FIFO可以存储多少个N位的数据(如果宽度为N)。
满标志:FIFO已满或将要满时由FIFO的状态电路送出的一个信号,以阻止FIFO的写操作继续向FIFO中写数据而造成溢出(overflow)。
空标志:FIFO已空或将要空时由FIFO的状态电路送出的一个信号,以阻止FIFO的读操作继续从FIFO中读出数据而造成无效数据的读出(underflow)。
读时钟:读操作所遵循的时钟,在每个时钟沿来临时读数据。
写时钟:写操作所遵循的时钟,在每个时钟沿来临时写数据。

2、 FIFO的“空”/“满”检测

FIFO设计的关键:产生可靠的FIFO读写指针和生成FIFO“空”/“满”状态标志。
此处切记判断读空和写满时指针的比较方式时不同的,大华面试时就问到了这个问题,一下把我干糊涂了,直接面试凉了。

  • 如上图所示的同步模块synchronize to write clk,其作用是把读时钟域的读指针rd_ptr采集到写时钟(wr_clk)域,然后和写指针wr_ptr进行比较从而产生或撤消写满标志位wr_full;

  • 同步模块synchronize to read clk的作用是把写时钟域的写指针wr_ptr采集到读时钟域,然后和读指针rd_ptr进行比较从而产生或撤消读空标志位rd_empty。

2.1、读写指针工作原理

读指针:总是指向下一个将要被写入的单元,复位时,指向第一个单元(编号为0)。
写指针:总是指向当前要被读出的数据,复位时,指向第1个单元(编号为0)

FIFO空标志位:

(1)系统复位,读写指针全部清零,读写指针相等时;
(2)数据读出速率大于写入速率,读指针赶上了写指针,FIFO为空,如图所示:

FIFO 写满标志位的产生

(1)读写指针指向了同一地址,但写指针超前整整一圈,FIFO被写满;

2.2、 空满区分

为了区分到底是满状态还是空状态,可以采用以下方法:

在指针中添加一个额外的位,当写指针增加并越过最后一个FIFO地址时,就将未用的最高位(MSB)加1,其他位回0.对读指针也进行同样的操作。

此时,对于深度为2^n的FIFO,需要的读写指针位宽为(n+1)位。如对于深度为8的FIFO,需要采用4bit的计数器,0000~1000、1001~1111,MSB作为折回标志位,而低3位作为地址指针。

如果两个指针的MSB不同,其他位相同,说明写指针比读指针多折回了一次;如r_addr=0000,而w_addr = 1000,为满。如果两个指针的MSB相同,其余位相等,则说明两个指针折回的次数相等,说明FIFO为空;

2.3、 二进制FIFO指针的考虑

将一个二进制的计数值从一个时钟域同步到另一个时钟域的时候很容易出现问题,因为采用二进制计数器时所有位都可能同时变化,在同一个时钟沿同步多个信号的变化会产生亚稳态问题。而使用格雷码只有一位变化,因此在两个时钟域间同步多个位不会产生问题。所以需要一个二进制到gray码的转换电路,将地址值转换为相应的gray码,然后将该gray码同步到另一个时钟域进行对比,作为空满状态的检测。

(1)二进制如何转化为格雷码

二进制数的最高位保持不变, 后续位依次与前一位进行异或运算

assign  gray_code = (bin_code>>1)  ^  bin_code;

(2) 使用gray码进行对比,如何判断“空”与“满”

使用gray码解决了一个问题,但同时也带来另一个问题,即在格雷码域如何判断空与满。

对于“空”的判断依然依据二者完全相等(包括MSB);

而对于“满”的判断,如下图,由于gray码除了MSB外,具有镜像对称的特点,当读指针指向7,写指针指向8时,除了MSB,其余位皆相同,不能说它为满。因此不能单纯的只检测最高位了,在gray码上判断为满必须同时满足以下3条:

(1)wptr和同步过来的rptr的MSB不相等,因为wptr必须比rptr多折回一次。
(2)wptr与rptr的次高位不相等,如上图位置7和位置15,转化为二进制对应的是0111和1111,MSB不同说明多折回一次,111相同代表同一位置。
(3)剩下的其余位完全相等。

这里直接给出结论:

  • 判断读空时 :将写时钟域的写指针同步到读时钟,然后与读时钟域的读指针进行比较,每一位都完全相同才判断为读空;

  • 判断写满时:需要 写时钟域的格雷码wgray_next 和 被同步到写时钟域的读指针wr2_rp 高两位不相同,其余各位完全相同;

1 assign full = (wr_addr_gray == {~(rd_addr_gray_d2[addr_width-:2]),rd_addr_gray_d2[addr_width-2:0]}) ;//高两位不同
2 assign empty = ( rd_addr_gray == wr_addr_gray_d2 );

3、代码:

代码思想

二进制指针转换为格雷码,跨时钟后进行空满判断。读空是写时钟域下的写指针同步到读时钟域下与读指针进行比较,完全一样即为读空。写满是读时钟域的读指针同步到写时钟域与写指针比较,高两位不同低位相同即为写满。

//异步FIFO代码,关键是判断空满信号
module asyn_fifo#(parameter data_width = 16,parameter data_depth = 8,parameter ram_depth  = 256)(input                           rst_n,//写的四个接口                          input                           wr_clk,input                            wr_en,input         [data_width-1:0]    data_in,output                          full,//读的四个接口           input                           rd_clk,input                            rd_en,output    reg [data_width-1:0]    data_out,output                         empty
);reg   [data_depth-1:0]    wr_adr;reg  [data_depth-1:0]    rd_adr;reg  [data_depth:0]      wr_adr_ptr;reg  [data_depth:0]      rd_adr_ptr;wire     [data_depth:0]      wr_adr_gray;reg     [data_depth:0]      wr_adr_gray1;reg    [data_depth:0]      wr_adr_gray2;wire   [data_depth:0]      rd_adr_gray;reg     [data_depth:0]      rd_adr_gray1;reg    [data_depth:0]      rd_adr_gray2;//dual port ram - write and read,定义读写地址assign wr_adr = wr_adr_ptr[data_depth-1:0];assign rd_adr = rd_adr_ptr[data_depth-1:0];//定义存储空间FIFOinteger i;reg [data_width-1:0] ram_fifo [ram_depth-1:0];always@(posedge wr_clk or negedge rst_n)beginif(!rst_n)beginfor(i=0;i<ram_depth;i=i+1)ram_fifo[i] <= 'd0;endelse if(wr_en && (~full))ram_fifo[wr_adr] <= data_in;elseram_fifo[wr_adr] <= ram_fifo[wr_adr];endalways@(posedge rd_clk or negedge rst_n)beginif(!rst_n)data_out <= 'd0;else if(rd_en && (~empty))data_out <= ram_fifo[rd_adr];elsedata_out <= 'd0;end//wr_adr_ptr ++ and rd_adr_ptr ++always@(posedge wr_clk or negedge rst_n)beginif(!rst_n)wr_adr_ptr <= 'd0;else if(wr_en && (~full))wr_adr_ptr <= wr_adr_ptr + 1'b1;elsewr_adr_ptr <= wr_adr_ptr;endalways@(posedge rd_clk or negedge rst_n)beginif(!rst_n)rd_adr_ptr <= 'd0;else if(rd_en && (~empty))rd_adr_ptr <= rd_adr_ptr + 1'b1;elserd_adr_ptr <= rd_adr_ptr;end//binary to gray 二进制转格雷码assign wr_adr_gray = (wr_adr_ptr >> 1) ^ wr_adr_ptr;assign rd_adr_gray = (rd_adr_ptr >> 1) ^ rd_adr_ptr;//gray cdc compare 格雷码跨时钟比较指针-------------------------------重点always@(posedge wr_clk or negedge rst_n)beginif(!rst_n)beginrd_adr_gray1 <= 'd0;rd_adr_gray2 <= 'd0;endelse beginrd_adr_gray1 <= rd_adr_gray;rd_adr_gray2 <= rd_adr_gray1;endendalways@(posedge rd_clk or negedge rst_n)beginif(!rst_n)beginwr_adr_gray1 <= 'd0;wr_adr_gray2 <= 'd0;endelse beginwr_adr_gray1 <= wr_adr_gray;wr_adr_gray2 <= wr_adr_gray1;endendassign empty = (rd_adr_gray == wr_adr_gray2)?1'b1:1'b0;assign full  = (wr_adr_gray[data_depth:data_depth-1] = (~(rd_adr_gray2[data_depth:data_depth-1]))) && (wr_adr_gray[data_depth-2:0] == rd_adr_gray2[data_depth-2:0]);endmodule

仿真测试代码

`timescale 1ns / 1psmodule asyn_fifo_tb;reg                               rst_n;reg                               wr_clk;reg                              wr_en;reg           [15:0]              data_in;wire                            full;reg                            rd_clk;reg                              rd_en;wire          [15:0]              data_out;wire                           empty;asyn_fifo asyn_fifo_inst(.rst_n      (rst_n),.wr_clk     (wr_clk),.wr_en      (wr_en),.data_in    (data_in),.full       (full),.rd_clk     (rd_clk),.rd_en      (rd_en),.data_out   (data_out),.empty      (empty)
);initial wr_clk = 0;always#10 wr_clk = ~wr_clk;initial rd_clk = 0;always#30 rd_clk = ~rd_clk;always@(posedge wr_clk or negedge rst_n)beginif(!rst_n)data_in <= 'd0;else if(wr_en)data_in <= data_in + 1'b1;elsedata_in <= data_in;endinitial beginrst_n = 0;wr_en = 0;rd_en = 0;#200;rst_n = 1;wr_en = 1;#20000;wr_en = 0;rd_en = 1;#20000;rd_en = 0;$stop; endendmodule

可见读数据对写数据实现了多比特跨时钟域处理,这是异步FIFO的一个常用用途。

4、重要补充

关于异步FIFO的关键技术,有两个,一个是格雷码减小亚稳态,另一个是指针信号跨异步时钟域的传递。

我在自己写异步FIFO的时候也很疑惑,地址指针在同步化的时候,肯定会产生至少两个周期的延迟,如果是从快时钟域到慢时钟域,快时域的地址指针并不能都被慢时域的时钟捕获,同步后的指针比起实际的指针延迟会更大。如果以此来产生fifo_empty和fifo_full 信号会非常不准器。

查找资料和仿真后发现,数字电路的世界真的很神奇,还有很多的东西需要去学习。非常巧妙,FIFO中的一个潜在的条件是write_ptr总是大于或者等于read_ptr;分为两种情况,写快读慢和写慢读快。

  • 1.在写时钟大于读时钟时,产生fifo_empty信号,需要将write_ptr同步到读时钟域,写指针会有延时,可能比实际的写地址要小,如果不满足fifo_empty的产生条件,没问题。如果满足fifo_empty的触发条件,说明此时同步后的write_ptr = read_ptr,即实际的write_ptr >= read_ptr,最坏的情况就是write_ptr > read_ptr,像这种FIFO非空而产生空标志信号的情况称为“虚空”,但是也并不影响FIFO的功能。

  • 2.在写时钟大于读时钟时,产生fifo_full信号,需要将read_ptr同步到写时钟域,读指针会有延时,可能比实际的读地址要小,如果不满足fifo_full的产生条件,没问题。如果满足fifo_full的触发条件,说明此时同步后的read_ptr == write_ptr - fifo_depth,即实际的read_ptr >= read_ptr - fifo_depth,最坏的情况就是read_ptr > read_ptr - fifo_depth,像这种FIFO非满而产生满标志信号的情况称为“虚满”,但是也并不影响FIFO的功能。
    写慢读快的情况也同上,并没有大的差异,不再分析。

关于格雷码减小亚稳态,如果读写时钟差距过大,从快时钟域同步到慢时钟域的信号,时钟捕获的相邻两个数据变化并不是只有一个bit位的改变,可能导致格雷码失去原来的意义。

面试——异步FIFO详解相关推荐

  1. Android之AsyncTask异步任务详解总结

    Android 多线程----AsyncTask异步任务详解 [正文] 本文将讲解一下Android的多线程的知识,以及如何通过AsyncTask机制来实现线程之间的通信. 一.Android当中的多 ...

  2. [进阶] --- Python3 异步编程详解(史上最全篇)

    [进阶] - Python3 异步编程详解:https://blog.csdn.net/lu8000/article/details/45025987 参考:http://aosabook.org/e ...

  3. 使用@Async进行异步调用详解

    本文说下使用@Async进行异步调用详解 文章目录 概述 概述

  4. Android面试Hash原理详解二

    Hash系列目录 Android面试Hash原理详解一 Android面试Hash原理详解二 Android面试Hash常见算法 Android面试Hash算法案例 Android面试Hash原理详解 ...

  5. 浙大计算机学院夏令营面试,学姐经验分享丨夏令营面试准备 套路详解!

    原标题:学姐经验分享丨夏令营面试准备 & 套路详解! A:哎,小B,我听说夏令营面试很重要,你准备好了吗? B:没有欸,自我介绍.专业知识考核.英语考核什么的感觉好复杂. A:是啊,听说还有什 ...

  6. kafka 同步提交 异步_详解Kafka设计架构核心——Kafka副本机制详解

    所谓的副本机制(Replication),也可以称之为备份机制,通常是指分布式系统在多台网络互联的机器上保存有相同的数据拷贝.副本机制有什么好处呢? 1. 提供数据冗余.即使系统部分组件失效,系统依然 ...

  7. 合辑 | 面试必备!18篇Java面试疑难点详解

    简介: 就目前就业环境来看,学习Java软件开发是不会过时的,据各大招聘网站统计,Java程序员的需求10年来一直跃居首位.本节小编汇总了18篇Java面试相关的疑难点解析,知识点+理论详解帮助你稳扎 ...

  8. java 日志 异步_log4j 详解异步日志的配置和测试

    log4j 详解异步日志的配置和测试 日志可以帮助我们分析故障原因,做些数据挖掘的工作.最简单的日志方法,就是自己写个写文件的方法,在需要打日志的时候调用下,但是这显然不可能在实际工程上用.还有个问题 ...

  9. jQuery Ajax异步请求详解

    jQuery的Ajax API是对XMLHttpRequest对象的抽象,解决了浏览器之间的兼容性问题,同时提供了一些方便的方法.这篇博客的撰写参考了jQuery官网,jQuery Ajax API ...

最新文章

  1. 一步一步学Silverlight 2系列(3):界面布局
  2. python colormap(颜色映射)自定义
  3. C++遍历树-非递归递归-使用了标记位
  4. rfid射频前端的主要组成部分有_第4章 RFID的射频前端(simple).ppt
  5. 我的世界java骷髅马_我的世界1.9版本新功能曝光 骷髅马正式加入
  6. 黑鲨游戏手机2 Pro外观设计曝光:或将新增两条LED灯带
  7. python摄像头识别快递单号查询_python如何免费对接快递鸟api单号识别查询接口
  8. Docker发布镜像至Docker Hub
  9. 中科院院士张钹:大数据驱动的人工智能有大量毛病,且是根本性的
  10. Git如何忽略已经上传的文件或文件夹?
  11. 解决ArcGIS安装之后出现的Windows installer configures问题
  12. java中基本数据类型
  13. POJ1015 Jury Compromise【背包问题】
  14. Windows驱动开发之DDK与WDK、WDM的区别
  15. 订单审批流程 数据库表设计
  16. A/B Test(AB测试) 流程、常见面试问题及解答
  17. ”不怎么动的运动“塑造完美翘臀
  18. kubeflow--安装使用pipeline
  19. Spring-Data-JPA EntityManager 从一次线上BUG探究Autowired和PersistenceContext的区别
  20. 【LeetCode 1220】 Count Vowels Permutation

热门文章

  1. unity 告白代码
  2. 陕西省初级职称评审条件真的很简单
  3. html5 onbeforeonload,window属性:onbeforeunload
  4. 如何在excel中快速使用日期控件?
  5. 4.[研磨设计模式笔记]适配器模式
  6. 数据库插不进去的问题
  7. vs2008安装配置opencv
  8. 服务器应用程序不可用 您试图在此WEB上访问的web应用程序当前不可用
  9. 【diannaoxitong】无线热点chinanet免费无线上网教程
  10. TextBox换行C#文本框换行.net文本框换行textarea换行