设计要求:

最多可记录十组数据

可删除其中多组数据

可上下查阅所记录的数据

数据通过lcd1602显示

计时精度到0.01s

由于并没有使用24c02,导致程序去数据较大,仿真可能不行。实际验证通过

程序界面如图:

仿真界面如图:

   
  如果编译出错,将keil按如图参数设置:

以下为程序代码:

包括两个文件,主程序里面是:

#include<1602.h>
#include<reg52.h>

#ifndef uchar
#define uchar unsigned char
#endif

#ifndef uint 
#define uint unsigned int
#endif

/*按键定义*/
/*开始键,计数键,停止计数键或者清零键,上下查阅键,删除数据键*/

sbit key_start=P1^0;
sbit key_count=P1^1;
sbit key_stop=P1^2;
sbit key_up=P1^3;
sbit key_down=P1^4;

/*变量定义*/
int count=0;//计数器

uint i,j,state,k=0,countnum,m,delete;

/*设置标志位*/

char flag;
char maxflag;
char clearflag;
char turnflag; //
char upflag;  //为1时可以继续上翻
char minflag=0; //为0时可以继续下翻
char downflag;

/*定义数组 */
 unsigned char str[] = "time:";
 unsigned char str2[]= "num:";
 unsigned char str3[]="...press start..";
 unsigned char str4[]="....counting....";
 unsigned char str5[]=".. press count..";
 unsigned char str6[]="press up or down";
 unsigned char str7[]="..overcounting..";

int number[10]=0;
 int num2[5]=0;

void keyscan();
void init();

void main()
{
   
    InitLcd1602();
    init();
/*以下为调试程序段*/
   LcdShowStr(0,0,str3);
  //  LcdShowStr(0,1,str2);
//  LcdWriteCom(0x91);
//  LcdWriteData('0'+1);
//  LcdWriteCom(0x90);
//   LcdWriteData('0'+1);
/*以上为调试程序段*/

while(1)
{ //LcdShowStr(0,1,str4); //测试
    keyscan();
Lcd1602_Delay1ms(50);
}

}
void init()
{
IT0=0;
EX0=0;
TMOD=0x01;
TH0=(65536-10000)/256; 
TL0=(65536-10000)%256;
EA=0; //开总中断

// key_count=0;
// key_stop=0;
// key_up=0;
// key_down=0;
// key_delete=0;

flag=0; //标志位为0时代表未计时状态,为1时代表为计时状态
i=0;
maxflag=0;//定时标志位,为1时代表定时已满
clearflag=0;//清除标志位
turnflag=0;//为1时可以上下翻页显示
downflag=0;
upflag=1;
}
void keyscan()
{
if((key_start==0)&&(flag==0)) //防抖处理
{
Lcd1602_Delay1ms(10); //防抖处理
if((key_start==0)&&(flag==0))//防抖处理
{
while((!(key_start==0)&&(flag==0)));//防抖处理
InitLcd1602();
EA=1;//检测到开始按键按下后,启动定时器
ET0=1; //计时器0开启
 TR0=1; //计时器打开
flag=1;//设置标志位为计时状态
LcdShowStr(0,0,str4);
LcdShowStr(0,1,str5);
}
}
if((key_count==0)&&(flag==1)&&(maxflag==0))
{
       Lcd1602_Delay1ms(50);
if((key_count==0)&&(flag==1)&&(maxflag==0))
{

while(!((key_count==0)&&(flag==1)&&(maxflag==0)));

InitLcd1602();
LcdShowStr(0,0,str);
    LcdShowStr(0,1,str2);
number[i]=count;
LcdWriteCom(0xC7);
        LcdWriteData('0'+i+1); 
LcdWriteCom(0xC6);
        LcdWriteData('0');
if(i==9)
{
LcdWriteCom(0xC7);
LcdWriteData('0'); 
LcdWriteCom(0xC6);
LcdWriteData('0'+1);
}

num2[0]=number[i]/10000;
num2[1]=number[i]/1000%10;
num2[2]=number[i]/100%10;
num2[3]=number[i]/10%10;
num2[4]=number[i]%10;

LcdWriteCom(0x86);
    LcdWriteData('0'+num2[0]);

LcdWriteCom(0x87);
    LcdWriteData('0'+num2[1]);

LcdWriteCom(0x88);
    LcdWriteData('0'+num2[2]);

LcdWriteCom(0x89);
    LcdWriteData('.');

LcdWriteCom(0x8A);
    LcdWriteData('0'+num2[3]);

LcdWriteCom(0x8B);
    LcdWriteData('0'+num2[4]);

LcdWriteCom(0x8D);
    LcdWriteData('S');

i++;
state=i;

if(i==10)
{
maxflag=1;

}
}
}

if(key_stop==0)
{
Lcd1602_Delay1ms(50);

if(key_stop==0)
{
while(!(key_stop==0));
if(clearflag==0)
{
TR0=0;  //关定时器,查阅时定时就停止
EX0=1;  //开外部中断,保证只有在上下翻阅时才能触发外部中断
count=0;//计数清零
clearflag=1;//清除标志位打开,也就是说再按一下这个按键所有制清零
turnflag=1;
minflag=0;

}
else if(clearflag==1)//此块执行清除任务
{

InitLcd1602();
number[10]=0;
clearflag=0;
LcdShowStr(0,0,str3);
turnflag=0;
maxflag=0;
flag=0;
i=0;//将数组计数给清零
}
}
}

if(key_up==0)
{
Lcd1602_Delay1ms(50);
     if(key_up==0)
{
while(!(key_up==0));
if(upflag==0)
{
InitLcd1602();
LcdShowStr(0,0,str);
LcdShowStr(0,1,str2);
 minflag=0;//只要上翻过后都可以下翻
 state++;
if(state==10)
{
LcdWriteCom(0xC7);
LcdWriteData('0'); 
LcdWriteCom(0xC6);
LcdWriteData('0'+1);
}
else
{
LcdWriteCom(0xC7);
LcdWriteData('0'+state); 
LcdWriteCom(0xC6);
LcdWriteData('0');
}
m=state-1;
delete=m;
 
 /*显示时间*/
num2[0]=number[m]/10000;
num2[1]=number[m]/1000%10;
num2[2]=number[m]/100%10;
num2[3]=number[m]/10%10;
num2[4]=number[m]%10;

LcdWriteCom(0x86);
LcdWriteData('0'+num2[0]);

LcdWriteCom(0x87);
LcdWriteData('0'+num2[1]);

LcdWriteCom(0x88);
LcdWriteData('0'+num2[2]);

LcdWriteCom(0x89);
LcdWriteData('.');

LcdWriteCom(0x8A);
LcdWriteData('0'+num2[3]);

LcdWriteCom(0x8B);
LcdWriteData('0'+num2[4]);

LcdWriteCom(0x8D);
LcdWriteData('S');
if(state==10)
{
upflag=1;
}
}
 
 
}

}

if(key_down==0)
{
 Lcd1602_Delay1ms(50);
 if(key_down==0)
{
while(!(key_down==0));
if((turnflag==1)&&(minflag==0))
{
InitLcd1602();
LcdShowStr(0,0,str);
LcdShowStr(0,1,str2);
/*显示组号*/
state--;
LcdWriteCom(0xC7);
LcdWriteData('0'+state); 
LcdWriteCom(0xC6);
LcdWriteData('0');
k=state-1;
delete=k;

/*显示时间*/
num2[0]=number[k]/10000;
num2[1]=number[k]/1000%10;
num2[2]=number[k]/100%10;
num2[3]=number[k]/10%10;
num2[4]=number[k]%10;

LcdWriteCom(0x86);
LcdWriteData('0'+num2[0]);

LcdWriteCom(0x87);
LcdWriteData('0'+num2[1]);

LcdWriteCom(0x88);
LcdWriteData('0'+num2[2]);

LcdWriteCom(0x89);
LcdWriteData('.');

LcdWriteCom(0x8A);
LcdWriteData('0'+num2[3]);

LcdWriteCom(0x8B);
LcdWriteData('0'+num2[4]);

LcdWriteCom(0x8D);
LcdWriteData('S');

if(state==1)
{
minflag=1;

}
upflag=0;

}
}
 }

}

void timer0() interrupt 1
{
TH0=(65536-10000)/256; 
TL0=(65536-10000)%256;
count++;
if(count==6000)
{
count=0; 
ET0=0; //计时器0关闭
TR0=0; //计时器关闭
turnflag=1;
EX0=1;
InitLcd1602();
LcdShowStr(0,0,str6);
LcdShowStr(0,1,str7);
}
}

void  counter0(void) interrupt 0  using 1
{
  number[delete]=0;
LcdWriteCom(0x86);
LcdWriteData('0');

LcdWriteCom(0x87);
LcdWriteData('0');

LcdWriteCom(0x88);
LcdWriteData('0');

LcdWriteCom(0x89);
LcdWriteData('.');

LcdWriteCom(0x8A);
LcdWriteData('0');

LcdWriteCom(0x8B);
LcdWriteData('0');

LcdWriteCom(0x8D);
LcdWriteData('S');

}

然后是lcd的驱动程序:

#include<1602.h>

void Read_Busy()           //忙检测函数,判断bit7是0,允许执行;1禁止
{
    unsigned char sta;      //
    LCD1602_DB = 0xff;
    LCD1602_RS = 0;
    LCD1602_RW = 1;
    do
    {
        LCD1602_EN = 1;
        sta = LCD1602_DB;
        LCD1602_EN = 0;    //使能,用完就拉低,释放总线
    }while(sta & 0x80);
}

void Lcd1602_Write_Cmd(unsigned char cmd)     //写命令
{
    Read_Busy();
    LCD1602_RS = 0;
    LCD1602_RW = 0;
    LCD1602_DB = cmd;
    LCD1602_EN = 1;
    LCD1602_EN = 0;    
}

void Lcd1602_Write_Data(unsigned char dat)   //写数据
{
      Read_Busy();
      LCD1602_RS = 1;
      LCD1602_RW = 0;
      LCD1602_DB = dat;
      LCD1602_EN = 1;
      LCD1602_EN = 0;
}

void LcdSetCursor(unsigned char x,unsigned char y)  //坐标显示
{
    unsigned char addr;
    if(y == 0)
        addr = 0x00 + x;
    else
        addr = 0x40 + x;
    
    Lcd1602_Write_Cmd(addr|0x80);
}

void LcdShowStr(unsigned char x,unsigned char y,unsigned char *str)     //显示字符串
{
    LcdSetCursor(x,y);      //当前字符的坐标
    while(*str != '\0')
    {
        Lcd1602_Write_Data(*str++);
    }
}

void InitLcd1602()              //1602初始化
{
    Lcd1602_Write_Cmd(0x38);    //打开,5*8,8位数据
    Lcd1602_Write_Cmd(0x0c);
    Lcd1602_Write_Cmd(0x06);
    Lcd1602_Write_Cmd(0x01);    //清屏   
}

void Lcd1602_Delay1ms(uint c)   //误差 0us
{
    uchar a,b;
for (; c>0; c--)
{
for (b=199;b>0;b--)
{
  for(a=1;a>0;a--);
}      
}
   
}
/*******************************************************************************
* 函 数 名         : LcdWriteCom
* 函数功能   : 向LCD写入一个字节的命令
* 输    入         : com
* 输    出         : 无
*******************************************************************************/
#ifndef LCD1602_4PINS //当没有定义这个LCD1602_4PINS时
void LcdWriteCom(uchar com)  //写入命令
{
LCD1602_EN = 0;     //使能
LCD1602_RS = 0;   //选择发送命令
LCD1602_RW = 0;   //选择写入

LCD1602_DB = com;     //放入命令
Lcd1602_Delay1ms(1); //等待数据稳定

LCD1602_EN = 1;          //写入时序
Lcd1602_Delay1ms(5);  //保持时间
LCD1602_EN = 0;
}
#else 
void LcdWriteCom(uchar com)  //写入命令
{
LCD1602_EN = 0; //使能清零
LCD1602_RS = 0; //选择写入命令
LCD1602_RW = 0; //选择写入

LCD1602_DB = com; //由于4位的接线是接到P0口的高四位,所以传送高四位不用改
Lcd1602_Delay1ms(1);

LCD1602_EN = 1; //写入时序
Lcd1602_Delay1ms(5);
LCD1602_EN = 0;

// Lcd1602_Delay1ms(1);
LCD1602_DB = com << 4; //发送低四位
Lcd1602_Delay1ms(1);

LCD1602_EN = 1; //写入时序
Lcd1602_Delay1ms(5);
LCD1602_EN = 0;
}
#endif

/*******************************************************************************
* 函 数 名         : LcdWriteData
* 函数功能   : 向LCD写入一个字节的数据
* 输    入         : dat
* 输    出         : 无
*******************************************************************************/   
#ifndef LCD1602_4PINS   
void LcdWriteData(uchar dat) //写入数据
{
LCD1602_EN = 0; //使能清零
LCD1602_RS = 1; //选择输入数据
LCD1602_RW = 0; //选择写入

LCD1602_DB = dat; //写入数据
Lcd1602_Delay1ms(1);

LCD1602_EN = 1;   //写入时序
Lcd1602_Delay1ms(5);   //保持时间
LCD1602_EN = 0;
}
#else
void LcdWriteData(uchar dat) //写入数据
{
LCD1602_EN = 0;  //使能清零
LCD1602_RS = 1;  //选择写入数据
LCD1602_RW = 0;  //选择写入

LCD1602_DB = dat; //由于4位的接线是接到P0口的高四位,所以传送高四位不用改
Lcd1602_Delay1ms(1);

LCD1602_EN = 1;  //写入时序
Lcd1602_Delay1ms(5);
LCD1602_EN = 0;

LCD1602_DB = dat << 4; //写入低四位
Lcd1602_Delay1ms(1);

LCD1602_EN = 1;  //写入时序
Lcd1602_Delay1ms(5);
LCD1602_EN = 0;
}
#endif

还有一个1602.h文件:

#include<reg52.h>

#ifndef uchar
#define uchar unsigned char
#endif

#ifndef uint 
#define uint unsigned int
#endif

#define LCD1602_DB  P0

sbit LCD1602_RS = P2^6;
sbit LCD1602_RW = P2^5;
sbit LCD1602_EN = P2^7;

void InitLcd1602(); //初始化lcd1602

void LcdShowStr(unsigned char x,unsigned char y,unsigned char *str);//写入一个字符串

void LcdWriteData(uchar dat1);//写入一个单个字
void LcdWriteCom(uchar com);//写入地址
void Lcd1602_Delay1ms(uint c);
void InitLcd1602();

实际效果如图:

基于51单片机的电子秒表设计相关推荐

  1. 基于51单片机的电子秒表

    基于51单片机的电子秒表 电子秒表 基于51单片机的电子秒表 摘要 1 引言 段数码管以及按键的使用 2 总体设计方案 2.1 设计思路 2.2 设计方框图 3 设计原理分析 3.1 时钟电路的设计 ...

  2. c51单片机时钟c语言程序设计,基于51单片机的电子时钟设计..doc

    -- I -- - - I -- 设计题目: 基于 51 单片机的电子时钟设计 摘要 单片机,是集 CPU ,RAM ,ROM ,定时器,计数器和多种接口于一体的微控制器.自 20 世纪 70 年代问 ...

  3. 基于51单片机的电子时钟设计

    基于51单片机的电子时钟设计 0 功能介绍 1.从DS1302中读取时间显示 2.一共4个按键,按键1按下进入修改时间模式,再按下切换修改的时间变量,这个时候第2和3个按键就是修改时间变量的按键,修改 ...

  4. 基于51单片机的电子万年历设计

    基于51单片机的电子万年历 1)LCD1602显示时间.日期.星期.温度 2)按键可调整时间日期星期 3)整点报时 4)DS1302时钟芯片 5)DS18B20温度传感器 6)闹钟功能 7)判断闰年 ...

  5. 基于51单片机的电子闹钟设计

    使用的单片机是  STC89C52 此设计可以    年 月 日   时 分 秒显示和闹钟功能      能通过8个按键自由调整 时 分 秒   闹钟响铃时间 带复位按键,要是模块抽风,摁复位按键即可 ...

  6. 毕业设计 - 基于51单片机的电子时钟设计与实现

    文章目录 单片机电子时钟程序设计 实现效果 最后 单片机电子时钟程序设计 共用体除非必要,否则学长不推荐使用,枚举的用法比较简单,在本书19章的项目实践中有很好的示例,这节课我们先来练习一下结构体的使 ...

  7. 【036】基于51单片机的电子时钟与秒表Proteus仿真设计

    一.压缩包资料内容 (1).基于51单片机的电子时钟与秒表proteus仿真设计一份: (2).基于51单片机的电子时钟与秒表proteus仿真设计keli源代码一份: (3).基于51单片机的电子时 ...

  8. 基于51单片机的电子万年历的设计-源代码

    电子万年历的设计目录 电子万年历的设计 电子万年历的系统整体设计框图 所需要的元件 DS18B20模块 DS18B20的特点 DS18B20内部结构 DS18B20管脚排列 DS1302模块 SPI时 ...

  9. 单片机数字定时闹钟设计c语言,电子设计 基于51单片机的定时闹钟设计.doc

    电子设计 基于51单片机的定时闹钟设计 课件之家精心整理资料--欢迎你的欣赏 课件之家精心整理资料--欢迎你的欣赏 摘 要 单片计体积小.能耗低.成本低.功能强,广泛应用于智能产品和工业自动化上.本次 ...

最新文章

  1. Unity3D 材料
  2. python4delphi_python4delphi 安装
  3. 京东业务增长10倍背后的敏捷开发秘籍【案例+分析】
  4. ios系统中各种设置项的url链接
  5. android 复制u盘文件到手机本地_如何导出Android中的文件(把Android当做U盘)
  6. 使用phpqrcode来生成二维码/thinkphp
  7. 2.OAuth 简介(2)
  8. 【图像提取】基于matlab形态学矩阵块+线段提取【含Matlab源码 1014期】
  9. 如何查看CSDN积分
  10. python爬虫实现hdu自动交题
  11. SBC芯片35584数据手册预调节器翻译
  12. LabVIEW学习(一):认识LabVIEW
  13. PMP 考点 第三章 项目经理的角色
  14. 记录Win10正确安装CUDA和cuDNN的过程(记录一些坑)
  15. 正态总体下的假设检验
  16. 数字图像基本处理算法
  17. Python——批量获取某宝商品价格
  18. Computer Science Theory for the Information Age-1: 高维空间中的球体
  19. a标签去下划线或文字添加下修饰_怎么去掉html a超链接下划线
  20. 【Python】二维码生成与读取

热门文章

  1. 什么是 Android
  2. 如何把npf号码本从三星手机导入android,将联系人导入三星Galaxy的3种方法
  3. 抖音私信分享卡片如何制作,抖音私信卡片的优点。
  4. android微信分享工具,记录Android微信分享功能的吐槽与思考
  5. 数字信号处理——线性相位型(Ⅰ、Ⅲ型)FIR滤波器设计(1)
  6. 「游戏建模」写实武器如何建模?各种软件齐上阵,武器建模设计教程
  7. 11 wifi6速率_【争议终结者】160和wifi6到底谁更6?
  8. 设计一个Windows窗体程序,设置程序,其运行结果如下图所示。垂直滚动条控制字体大小。
  9. word插入图片显示不完整的解决办法
  10. Android ios颜色背景渐变实现