孟岩讲起区块链来,总是妙趣横生,老幼皆宜,却又鞭辟入里,眼光独到。他喜欢用比喻来讲道理,在这篇文章中,孟岩就用了好孩子、坏孩子和乖孩子来形容不同的区块链项目的属性;又用三个平行世界,来描述物理、互联网和区块链三个并存的独立世界;再之后,又用五座桥来形容,从互联网转向区块链必须经历的五大门槛。


在孟岩看来,区块链有着自己的完整栈,并非互联网技术的一个延展。区块链自身正致力于创造一个全新的平行世界,除了原始的基础设施,仍一片蛮荒,大有商机可寻。

作者 | 孟岩

果你关注区块链技术一段时间,就会发现不同的人所说的区块链差别非常大,简直不像是在说同一件事情。

为什么会这样呢?

因为区块链本身就有好几张面孔。我称之为好孩子的区块链,坏孩子的区块链和怪孩子的区块链。

好孩子区块链是以造福现实世界为出发点的,在不触动现实利益格局的前提下帮助每一个人提升效率。

比如有名的Everledger项目,用于钻石溯源,防止“血钻”混入主流渠道。其结合了区块链技术和宝石指纹技术,可以把钻石、红宝石、翡翠等任何宝石,进行精细的特征注册。宝石本身发生任何细微的变化,都能够被设备发现。这个技术与区块链相结合,就能够有效的管理钻石的整条供应链。

坏孩子的区块链呢?典型的就是些打着区块链旗子现实世界中圈钱的空气币、传销币,以及利用数字货币进行洗钱、黑市交易等等。这个版本的区块链当然要打击,正如中国最近所做的一样。

最值得关注的是“怪孩子”的区块链。这一部分人致力于创造一个平行的世界。下面谈谈我对这个方向的思考。

区块链投资的热点

图1展示了2016年7月至2017年7月期间,天使/种子VC投资与代币融资的数量对比情况(不含众筹),可以看出代币的融资规模已经超过传统VC。

而代币经济规模又如何?也是快速增长,从2016年12月的80亿美金(其中比特币占70亿)到2017年1月份150亿,再到6月份1500亿,2017年9月初已经达到了1700亿。



图1 2016年7月至2017年7月间,代币融资规模已超越传统VC

这么大规模的投资,不可能是空气币和传销币撑得起来的,区块链和代币经济背后的投资逻辑是什么?我们看最近的几个大规模的代币融资案例:

1. FileCoin,去中心化存储网络(DSN)

2017年8月10日完成2.52亿美元代币发行,是目前最大的代币融资项目。主要卖点包括,基于IPFS/IPDB 技术,打通了全球数据存储和查询经济,用户为数据存储支付FileCoin;存储矿工提供存储空间和时间,赚得 FileCoin;检索矿工通过提供被检索的数据赚取FileCoin。采用的核心技术有区块链、IPFS、IPDB。

2. Tezos,可自治理、自修正的区块链

2017年7月15日完在2.32亿美元代币发行。它是由OCaml开发的可动态更新区块链,可自动升级、自动修正的智能合约区块链平台,杜绝硬分叉和严重的合约错误。

3. EOS


号称全面超越Ethereum的智能合约区块链操作系统,已筹集超过2亿美元,有望成为最大规模代币融资项目。它的定位与Ethereum完全相同,各方面的指标都远超Ethereum。

此外,还有Bancor、The DAO、Universa、MobileGo、Qtum量子链 、BasicAttentionToken、iEx.ec等值得关注的代币融资项目,大家可以自行了解。

如果我们分析现在区块链的投资热点,会慢慢发现,这些项目有的在基础层,有的在互操作协议层,有的在应用层,这个分层结构像极了互联网。这就很有意思。


如果区块链只是互联网技术的一个延展,那么它的创新技术应该都集中在某一个层次上,但是它竟然也有自己完整的应用栈。这说明什么呢?

三个平行世界

互联网刚刚出现的时候,现实世界和互联网世界彼此是平行的两个世界,比如报纸与新闻门户网站、邮局与电子邮件、沙龙聚会与社交网络等,现实世界中的事物在互联网世界里也有对应物。现实世界和互联网世界的沟通,需要通过流量桥和身份桥,如图2所示。

我们熟悉的浏览器、搜索引擎、手机就是流量桥,通过它们人才能在现实世界里穿越到互联网虚拟世界;身份桥则是后来因为监管的需要才慢慢建立起来,比如需要使用手机号等实名认证才能上网。如今,互联网世界已经成为现实世界的一部分了。



图2 互联网的平行世界

而区块链的出现,突然一下在创建了一个全新世界。这个世界除了一些还很原始的基础设施之外,一片蛮荒。现实和互联网世界中的很多事物,在这个全新世界中还是一片空白,存在大量开拓的机会。

目前一些先驱者已经入住了该“区块链世界”,比如与支付宝对应的Monetha;


与今日头条、Facebook对应的Steemit,可以用来写博客赚取打赏,并能够实时看到自己所赚到的金额,所有的评论也可以赚钱;


淘宝对应的OpenBazaar;


微信对应的Status,它的野心是要为Ethereum生态系统建造手机操作系统;


互联网世界有Linux、AWS、阿里云基础设施在支撑,区块链中以太坊、EOS、FileCoin、小蚁、量子链都在试图做区块链中的基础设施。


互联网到区块链的五座桥

从互联网世界到区块链世界,并非像从互联网世界到现实世界那么容易,不仅仅需要流量桥、身份桥,还要有真话桥、脑洞桥、资金桥,共同构成区块链世界的进入门槛,见图3所示。



图3  区块链的平行世界

真话桥:

区块链世界里,真话很重要。

比如一个智能合约是父亲写给女儿的遗嘱“如果我去世了,我的所有数字遗产都继承给我女儿”,数字资产完全可以通过区块链转移,但区块链无法得知父亲是否真正去世。所以如何将真实世界的真实信息传入区块链将是关键,假如有个坏女婿将假消息传入了区块链中,将会提前继承遗产。

真话桥可以通过预测市场来完成,比如预测明年的足球决赛巴西和德国队谁会获胜,我们认为正确预测出市场最后获胜者的那一方所言将是真话。

流量桥:

以太坊的Mist浏览器,打开浏览器后可以访问Dapp去中心化应用,浏览器插件MetaMask可以在Chrome浏览器中访问Web 3.0的去中心化网站。

Status的雄心则是做一款手机操作系统,每个人都带着一款装有Status操作系统的手机,随时可以连接至区块链的世界。

脑洞桥:

用来教会大家区块链世界中的规则,比如刚刚融了一亿美金的Coindesk,只因它是全球扼守脑洞桥的公司。

货币桥:

如果你在区块链很有钱,但在现实世界是个穷光蛋,一切也就没什么意思了,所以要想方设法将代币兑换成法币。

比特币本身的技术缺陷不足以支撑起整个区块链世界,但可以作为资金桥成为连接现实世界和区块链世界的桥梁。货币网——中心化交易所,以Omisego为例所有的钱包应用都可以认为是资金桥。

身份桥:

每个人都可以在区块链世界拥有无数的身份,每个私钥都是一个新的身份,这与现实世界将存在巨大的差距,一切对于政府来说都无法管控。目前有些区块链新创项目提出了数字身份的解决方案,特别值得期待。

这里特别介绍爱沙尼亚的数字公民计划。

现实生活中爱沙尼亚只是一个仅拥有着130万人口的小国,十年前一位38岁的年轻人出任总理。上任后推动爱沙尼亚全民都学习编程。目前20多岁的爱沙尼亚公民基本都会编程,甚至连北约军用系统当中都有很多部分是由爱沙尼亚所构建。


爱沙尼亚目前准备开放爱沙尼亚数字公民身份,邀请全球公民注册申请,无论你在现实社会中是哪国的公民。

这项措施将会使爱沙尼亚在未来成为区块链世界中的超级大国,将来也会有很多区块链公司注册在爱沙尼亚,公司间所有的商品、贸易、服务一切都将通过区块链结算,爱沙尼亚政府只需要设定一些基本的规定,就有可能获得巨大的税收,未来有机会成为一个超级富国。

那么,上述五座桥上已有哪些典型的项目,可见图4所示。



图4  连通区块链世界的五大桥梁上已有的典型项目

结语

区块链的世界有它的魅力,你的身份受到保护,所有的交易行为资金流动全部可以跨境不受任何约束,拥有巨大的自由,并且现实世界没有人知道你所进行的一切,但同时也会带来很多现实社会中的问题,税收的问题、洗钱的问题、黑市交易的问题,这些在整个体系没有充分建立起来的时候,都会阻碍其充分应用。

但是回想起来,互联网在一开始也是充满各种问题,但在二十多年前的人们,并没有因为这些问题而退缩,而是热情的拥抱了互联网,结果用二十年时间创造了一个繁荣的互联网经济。我相信区块链也必将破除种种障碍,解决各种问题,成为对我们的生活带来重大改变的技术运动。

(本文根据作者在“孜本家”活动中的演讲录音整理,发表时有删节)

作者简介:孟岩,CSDN副总裁,负责内容、社区、品牌传播和区块链业务。中关村区块链联盟专家,渡鸦区块链特约作者。自2015年起深入研究区块链及密码学工程,对银行票据、电信、艺术品等行业的区块链应用进行过实质性探索,并参与多个区块链项目的设计研发。

热门文章

  • 对话元道:通证经济需要“互链网”,“互链网”需要细腰

  • 今天,这几位区块链大咖旗帜鲜明地亮出“通证派”,原来这才是他们期盼中的未来交易的模样...

  • 与元道对话三:区块链经济正在进行“动力切换”

  • 锐评:泡沫中的token和被冷落的联盟链

  • 对话元道二:通证视角下的区块链创新路线

  • 听听Vitalik对token设计的看法

了解更多区块链技术及应用内容,敬请关注:

孟岩:区块链是一个与物理、互联网不同的平行世界,从互联网到区块链需要跨越5座桥相关推荐

  1. 通证(token)是下一代互联网数字经济的关键——元道区块链对话之一

    [作者按] 11月27日,比特币的价格突破一万美元.而与此同时,中国的区块链产业处在什么状态?简而言之,处在黎明前的思想大混乱时期.茨威格在<昨日的世界>里这样描写一战失败之后德国人混乱的 ...

  2. 杭州区块链国际周 | 蚂蚁集团林逸飞:构建价值互联网,让信任释放更大价值...

    7月5日上午9点,由杭州市余杭区政府指导,杭州未来科技城管委会.巴比特主办的"2020杭州区块链国际周"正式开幕,来自世界各地的行业大咖.互联网大厂.创新企业.投资机构.学术机构. ...

  3. 区块链,一个糟糕的数据库

    小仙女是龙泉寺俗家弟子,庙里财务系统就是小仙女开发的,也一直给庙里远程维护着数据库. 小仙女今天得到通知,要做区块链经书研习,一大早就赶路,刚过响午就到了山上,这刚到山门口,就看到快70岁的慧能师叔拿 ...

  4. “价值互联网”时代,带你读懂区块链

    区块链乍看似乎是一个去中心化的分布式账本,但这只是基于比特币来说,实际上连狭义的区块链都算不上. 区块链从本质上来说是一串使用密码学相关联所产生的数据块,每一个数据块中包含了多次网络交易有效确认的信息 ...

  5. 过去两三年 互联网科技公司的掌舵人这样看区块链

    作者:元尚 来源:互联脉搏 10月25日,是中国区块链产业的分水岭.在此之前,作为信任机器的"区块链"因 "空气币"."传销币"乱象带来的负 ...

  6. 盖茨和李嘉诚双龙卸甲 他们的区块链业务一个在链圈扶贫 一个在币圈赚钱

    来源:互链脉搏 3月13日,微软公司创始人比尔·盖茨宣布退出公司董事会,同时退出巴菲特旗下投资公司伯克希尔·哈撒韦董事会的职务,将把更多时间投入于慈善事业,其运营主体是比尔及梅琳达·盖茨基金会. 也是 ...

  7. 区块链的未来和哲学:代币经济、互联网经济与传统经济辨析

    Dorahacks 特别供稿 区块链技术和整个行业当下到底在做些什么?什么值得做?社会经济不断发展,从"传统经济"到"互联网经济"再到"区块链和其背后 ...

  8. 区块链落地进行时:专利猛增,构建工业互联网信任价值

    你知道全球申请区块链专利最多的前三名是哪些国家吗? 从申请人所在地区来看,排名前三的是中国.美国和韩国. 根据智慧芽全球专利数据库显示,目前全球与区块链相关的专利申请已超6.9万件,其中有效专利1.4 ...

  9. Interview:算法岗位面试—上海某公司算法岗位(偏图像算法,互联网科技行业)技术面试考点之区块链的TPS等问题

    Interview:算法岗位面试-上海某公司算法岗位(偏图像算法,互联网科技行业)技术面试考点之区Interview:算法岗位面试-上海某公司算法岗位(偏图像算法,互联网科技行业)技术面试考点之区块链 ...

最新文章

  1. 工程项目如何实现采购效益最大化?
  2. 美国司法部将对大型科技公司展开广泛、新的反垄断审查
  3. 【Deep Learning】深度学习中的函数类型
  4. Java中使用Jedis连接Redis对SortedSet进行排序操作
  5. 远程桌面与远程协助的区别mstsc /console
  6. dp打开思路2:POJ2533 HDU1114 HDU1260 HDU1160(水题不水)
  7. LeetCode-234. 回文链表(C语言)
  8. php采集正则,php不用正则采集速度探究总结
  9. ORB-SLAM2 ROS运行
  10. 最新手机号段 归属地数据库(2021年10月476338条,包括最新的号段)
  11. 惠普打印机换硒鼓(墨盒)
  12. 建文高考成绩查询2021,2021届新高考语文强化模拟卷(三).pdf
  13. 玩转PHP(一)---php中处理汉字字符串长度:strlen和mb_strlen
  14. OpenMesh-网格光顺的算法
  15. 三不妥协 领克09混动远航版如何打开中国品牌向上进阶的新边界
  16. ArcGIS栅格计算器求2个栅格数据的交集(区域)
  17. 我在大学所构建的技术体系
  18. 《Android源码设计模式解析与实战》读书笔记(十)
  19. Master in Vocab -- Day Four
  20. 杭电计算机组成原理实验九R-I,杭电计组实验9-实现R-I型指令的CPU设计实验.doc

热门文章

  1. 基于R语言的聚类分析(k-means,层次聚类)
  2. Intellij IDEA 打包jar的多种方式
  3. 云虚拟主机☀️利用FileZilla,使用FTP协议给阿里云虚拟主机上传、下载文件
  4. python画猪头程序_用python画猪头的方法
  5. vi 查看最顶部_vi命令示例大全
  6. Component(组件)的创建
  7. 在数据库中使用关键字作为字段名
  8. configure 中常见的几个命令
  9. sort() 函数的用法
  10. Sublime插件安装与提高Verilog编写效率插件推荐