verilog中的语句
赋值语句: 阻塞赋值语句(=)、非阻塞赋值语句(<=)
块语句 : 顺序块(begin…end)、并行块(fork…join)
条件语句: if…else语句、case语句
循环语句: forever语句 repeat语句、while语句、for语句
生成语句: generate语句(循环生成、条件生成、case生成)

结构说明语句:
verilog语言中的任何过程模块都从属于以下四种结构的说明语句:
1、initial说明语句
2、always说明语句
3、task说明语句
4、function说明语句

initial不可综合,always可以综合
Initial是为测试而生,只能用于测试,只执行一次;

module/endmodule, interface/endinterface硬件世界
program/endprogram, class/endclass软件世界

always过程块是用来描述硬件时序和组合电路,因此可以在module和interface中使用;
不可以在always中初始化变量,initial只执行一次;

initial和always一样,无法延迟执行,仿真一开始,同时执行,无顺序可言。
initial不可以存在硬件设计代码中;
initial可以在module/interface/program中使用;
用begin…end包住,initial为测试而生。

verilog中always和initial的区别相关推荐

  1. Verilog 中 wire 和 reg 数据类型区别

    两者差别很大,完全不能取消. 在Verilog中,wire永远是wire,就是相当于一条连线,用来连接电路,不能存储数据,无驱动能力,是组合逻辑,只能在assign左侧赋值,不能在always @ 中 ...

  2. css中inherit和initial的区别

    文章目录 前言 一.inherit(继承) 1: 定义 2:用法 3:例子 3.1代码 3.2效果图 3.3说明 二.使用步骤 1: 定义 2:用法 3:例子 3.1代码 3.2 效果图 3.3 说明 ...

  3. verilog中wire和reg的区别,什么时候用wire?什么时候用reg?

    相信很多和我一样刚开始接触verilog语言的小白都会有这样的困惑,wire型变量和reg型变量到底有什么区别?什么情况下使用wire定义变量.什么情况下使用reg定义变量?下面就详细分析两者在使用中 ...

  4. verilog中assign和always@(*)的区别和易忽略的点

    今天在做IC的模块验证时,发现某个模块的输出信号为x态,一般遇到x态首先思考以下几种情况: 变量未进行初始化 多个相同驱动强度的信号同时驱动1和0 代码中直接赋值的x态 如果是PAD电路上的X态,则另 ...

  5. Verilog中wire与reg类型的区别

    这是事转载的一篇文章,觉得不错,虽然中间有点小错误. wire与reg类型的区别: wire型数据常用来表示以assign关键字指定的组合逻辑信号.模块的输入输出端口类型都默认为wire型.默认初始值 ...

  6. Verilog中wire与reg类型的区别(转载自http://www.cnblogs.com/farbeyond/p/5204586.html)

    wire与reg类型的区别: wire型数据常用来表示以assign关键字指定的组合逻辑信号.模块的输入输出端口类型都默认为wire型.默认初始值是z. reg型表示的寄存器类型.always模块内被 ...

  7. Verilog中 reg和wire 用法 以及always和assign的区别

    1.从仿真角度来说,HDL语言面对的是编译器,相当于使用软件思路,此时: wire对应于连续赋值,如assign: reg对应于过程赋值,如always,initial: 2.从综合角度,HDL语言面 ...

  8. Verilog中reg和SystemVerilog中logic的区别

    关于reg和logic的区别,在SystemVerilog验证测试平台编写指南中有所提出,如下所示: SystemVerilog对经典的reg数据类型进行了改进,使得他除了作为一个变量以外,还可以被连 ...

  9. 萌新食用:Verilog中wire和reg数据类型的区别

    萌新食用:Verilog中wire和reg数据类型的区别 最近恰好在学习数电相关知识扯到Verilog中的wire与reg类型数据的区别: 总的来说,其实就一句话:wire相当于物理连线,而reg相当 ...

最新文章

  1. php配置连接sql2005(使用微软官方驱动)
  2. Android性能优化典范第三季
  3. vue+vue-router+vuex实战
  4. iOS开发 - StoryBoard + UIScrollView + UIView
  5. 云小课 | DSC:快速识别敏感数据并脱敏
  6. 设计师必备|年年都能借鉴的新年Banner设计
  7. Pandas DateOffset
  8. coreseek实时索引更新之增量索引
  9. 1546: 回形取数
  10. WPF 视频教程+笔记
  11. 使用SQL查询所有数据库名和表名
  12. c语言ctype函数,C语言函数--ctype.h库函数
  13. 天龙八部3d最新服务器,天龙八部3D妙笔生花新服务器开启公告
  14. 基于opencv python 的网线线序识别(三)
  15. 第三届“传智杯”全国大学生IT技能大赛(初赛B组)题解
  16. 【JavaSE】接口
  17. 如何快速搭建一个 “简单模式” 的微服务架构
  18. java反序列化与Apache CC链、fastjson反序列化的理解与研究
  19. Lyft公开“业内最大”数据集, 自动驾驶战场加速安卓化?
  20. 【Android开发经验】LayoutInflater—— 你可能对它并不了解甚至错误使用

热门文章

  1. aria- 标签 html,HTML5教程 如何使用ARIA
  2. 英文学习20171221
  3. 电商网站商品模型之商品详情页设计方案 - 梦亦晓 - 博客园
  4. 安卓手机扫二维码从FTP服务器下载apk
  5. 如何制作 TSP 艺术?
  6. ALtera DE2开发板学习
  7. Java面试题(六)腾讯Spring夺命7连问(灵魂拷问)
  8. 蓝牙耳机播放声音前几秒听不到
  9. 计算机与信息安全的基本知识,信息安全的基本常识
  10. java 图片合成_java 将两张相片合成一张,开发实用类