By Mcuzone
实现功能:7705差分输入端外接热电偶可测得测量端温度,再与LM75所测得的冷端温度相加,就得到了热电偶端的真实温度。在1.8寸屏上会实时显示当前温度、DS3231的实时时间、当前的记录状态(recording或pause),开启记录后结果保存在SD卡。
--- XMEGA128A1U-EK开发板 ---

热电偶温度记录仪应用(基于SD卡的FatFS文件系统)

1、用到的硬件资源
开发板自带外设:LM75温度传感器、TM7705 16bit高精度ADC、DS3231实时时钟;
选配件:SD卡、LCM18 1.8寸屏、热电偶线(K型)。

2、功能介绍
TM7705差分输入端外接热电偶可测得测量端温度,再与LM75所测得的冷端温度相加,就得到了热电偶端的真实温度。在1.8寸屏上会实时显示当前温度、DS3231的实时时间、当前的记录状态(recording或pause)。

调试串口:USARTD0;
波特率:9600;

3、操作
(1)开始记录
按K4开始在SD卡里创建一个文件(Temperature_00.txt),若创建成功,则开始记录温度。温度先保存在内存里,每存100个温度数据(大约2、3分钟)后将这些数据写入前面创建的SD卡文件里面

(2)暂停记录
按K3键可暂停记录,暂停后按K4开始记录。

4、注意事项
(1)温度每隔1秒测量一次;
(2)文件系统的时钟采用DS3231实时时钟校验,需保证DS3231的实时时钟时间的正确性。
(3)在记录(recording)时不能插拔SD卡,暂停(pause)时可拔出SD卡;从新插上SD卡请先复位。
(4)若LED灯D3点亮,说明对文件系统的操作出错,此时程序会停止。

测试程序:
链接: http://pan.baidu.com/s/1ntnufk1 密码: odbt

基于ATXMEGA128A1U-EK开发板的应用案例002: 基于SD卡热电偶温度采集应用相关推荐

  1. 物联网开发笔记(60)- 使用Micropython开发ESP32开发板之SPI接口控制Micro SD卡TF卡模块

    一.目的 这一节我们学习如何使用我们的ESP32开发板来通过SPI接口控制Micro SD卡TF卡模块. 二.环境 ESP32 + SPI接口控制Micro SD卡TF卡模块 + Thonny IDE ...

  2. 基于DE2-115 FPGA开发板的VGA显示

    文章目录 一.VGA简介 二.基于DE2-115 FPGA开发板的VGA显示 一.VGA简介 VGA的全称是Video Graphics Array,即视频图形阵列,是一个使用模拟信号进行视频传 输的 ...

  3. 基于MSP430G2553官方开发板的音乐播放器

    基于MSP430G2553官方开发板的音乐播放器 实现目标 硬件资源 芯片资源使用情况 外接硬件 程序实现 开发环境配置 各部分硬件驱动 主循环功能实现 实现目标 实现以蜂鸣器为播放设备,能够对简谱乐 ...

  4. STM32 基于正电原子开发板,改换芯片为STM32F103R6,Proteus仿真的一些问题

    最近在学STM32,网上收集了一些信息,最后用正点原子的开发板来学习. MDK的配置请参考原子哥的资料,我主要的学习方法是参考原子哥的开发板与实验案例,改换不一样的芯片,也要做出的一样的效果.但在最基 ...

  5. 基于Arduino Uno开发板的红外遥控开发

    基于Arduino Uno开发板的红外遥控开发 1 准备 1.1 硬件与软件 1.2 走线 2 代码 2.1 ReceiveDump 2.2 SendRawDemo 1 准备 1.1 硬件与软件 单片 ...

  6. Arduino开发(二)_基于Arduino UNO开发板的RGB灯光控制方法

    Arduino开发(二)_基于Arduino UNO开发板的RGB灯光控制方法 首先,我们来看一下Arduino UNO开发板的具体引脚排列,如下图所示, 再了解一下三色RGB LED模块,如下图所示 ...

  7. 基于ShineBlink物联网开发板和机智云平台开发的“针对短期内宠物无人照顾的智能宠物屋”系统

    正式介绍作品前先说明一下,我是湖南文理学院计算机与电气工程学院的一名大三学生,我叫陈海涛.作品全部内容均为个人创意.个人设计并手工制作,后期会考虑写论文投期刊,So!!严禁抄袭!抄袭必究! 该作品是用 ...

  8. 基于NNEWN NSR3588开发板Android12系统实现四屏异显

    基于NNEWN NSR3588开发板Android12系统实现四屏异显 RK3588四屏异显功能实现介绍 1.硬件连接 2.内核dts配置 3.Android异显示方案 4.四屏异显测试APK及效果演 ...

  9. 基于arduino uno 开发板制作的 智能书包项目

    基于arduino uno 开发板制作的智能书包,当时收短视频启发,集合自动售货机的代码和RC522 读卡模块代码结合制作,现基本功能可以实现 ,目前代码部分尚不稳定需要继续改进 //一个智能书包小发 ...

最新文章

  1. 2011年工作总结和展望(下篇)
  2. CSS实现文本超过指定长度显示省略号
  3. SRT协议在电视直播中的应用
  4. 洛谷T172100 商店-贪心
  5. JDK8新特性之重复注解
  6. python编程入门课_程序设计入门—Python
  7. 通过Method运行时内存布局hook方法探索
  8. python描述器 有限状态机_笨办法学 Python · 续 练习 30:有限状态机
  9. 【转】c#处理3种json数据的实例
  10. 网络服务器费信息技术服务费,中山大学网络与信息技术中心网费在线系统
  11. 百度网盘自动备份php,服务器自动备份脚本上传至百度云存储
  12. session和cookies
  13. android 图片 写入文件格式,android实现将位置信息写入JPEG图片文件
  14. word自带公式编辑_如何在word自带的公式编辑器中设置字体格式
  15. Fiddler抓包工具详解
  16. 2008年度世界五百强
  17. 阿里云、腾讯云、UCloud、华为云云主机对比测试报告
  18. IT行业道德伦理 介绍
  19. 【管理学】行业KOL——关键意见领袖(达人效应)
  20. Arcmap技巧总结(豆丁)

热门文章

  1. 数字电子技术 实验二
  2. 10 款超级实用的Chrome 黑科技插件
  3. java treemap get_java-TreeMap.get()返回Null,即使键存在
  4. Hiberante学习=一对一+多对一+级联/N+1+缓存/事务+HQL/SQL+list/literate
  5. Cocos Creator TypeScript 套牛游戏(可微信小游戏)
  6. 数据中心专业人员应能识别产品的真实性
  7. 面试常被问到排序算法总结(插入+选择+交换+归并排序)
  8. 老毛桃u盘启动盘制作工具怎么用及重装系统步骤(一)
  9. 把 Mac 触控板点按查词的默认词典改成欧路词典
  10. 嵌入式 C 语言的八大难点揭秘