Quartus 添加外部modelsim仿真工具

1.为本工程生成test bench文件(.vt)

2.在toools中选择options,并添加路径

3.在assignments中选择settings

其中需要注意的部分如下图所示:

点击test benches…,new一个新的test bench,之后如下图

首先根据步骤1中,生成的test bench的module的名称填写name,注意一名称一定要保持一致

之后可以自行设置仿真的时间

最后添加步骤一中生成的test bench文件

最后添加步骤一中生成的test bench文件

3.写仿真文件(示例)

`timescale 1 ps/ 1 ps
module IC_homework2_vlg_tst();
// constants
// general purpose registers
reg eachvec;
// test vector input registers
reg [2:0]datain_sig;
// wires
wire [7:0]dataout_sig;// assign statements (if any)
decoder_3_8 i1
(.datain(datain_sig) ,  // input [2:0] datain_sig.dataout(dataout_sig)  // output [7:0] dataout_sig
);
initial
begin                                                  datain_sig<=3'b0;
end
always @(*)begin                                                  #10 datain_sig<=datain_sig+1;
end
endmodule

.v文件如下(最简单的一个38译码器)

module decoder_3_8(
input [2:0] datain,
output reg [7:0]dataout
);
always @(*)begin case(datain)3'b000:dataout<=8'b0000_0001;3'b001:dataout<=7'b0000_0010;3'b010:dataout<=7'b0000_0100;3'b011:dataout<=7'b0000_1000;3'b100:dataout<=7'b0001_0000;3'b101:dataout<=7'b0010_0000;3'b110:dataout<=7'b0100_0000;3'b111:dataout<=7'b1000_0000;default:dataout<=7'b0000_0001;endcase
end endmodule

注意事项

注意quartus可以设置不同的顶层模块,但要注意换顶层模块之后需要再编译一次才能够运行modelsim,否则报错

Quartus 添加外部modelsim仿真工具相关推荐

  1. Quartus II调用modelsim无缝仿真

    本篇文章为转载,写的不错,最近在学modelsim仿真,网上的教程很乱,把自己认为不错的整理贴出来,后面有机会会写个详细点的. Quartus 中调用modelsim的流程 1. 设定仿真工具  as ...

  2. Quartus ii和Modelsim SE联合仿真的问题总结

    ** 1. 如何导入quartus ii库 ** 1.设置工作路径 打开modelsim安装目录(我的modelsim安装在d:/modelsim目录下),新建文件夹altera.后面的步骤将在该目录 ...

  3. Quartus Prime 与 Modelsim 调试 及do文件使用

    Quartus Prime 与 Modelsim 调试 及do文件使用 前言 Quartus本身的一些信息 调试 1(基于无IP核模式and简单Verilog代码) 调试2 (基于有ip核) 调试3 ...

  4. Quartus II与Modelsim软件安装教程

    Quartus II与Modelsim软件安装教程 一.Quartus II软件安装 1.Quartus II安装 2.器件安装 3.Quartus 破解 4.USB Blaster 驱动安装 二.M ...

  5. Quartus II与ModelSim联合仿真

    Quartus II与ModelSim联合仿真 目录 Quartus II与ModelSim联合仿真 一.创建新工程 二.创建新文件 三.配置ModelSim的路径 四.关联Test Bench文件 ...

  6. C#中DataTable添加外部行数据

    大家都知道要使用DataTable的第一个Add方法,得先DataTable对象NewRow()出一行自己的数据,才能够添加行数据. 现在我发现了一个好方法使得DataTable能够生成表结构,并能添 ...

  7. vba quit此文件正由另一个应用程序或用户使用_VBA|如何添加外部对象库(或控件)引用来扩展VBA功能...

    VBA是VB的宏语言,基于对象,有大量的内部对象及其属性和方法可以使用,此外,还可以添加外部对象库引用来扩展VBA功能. 例如你在网上找了一个项目的文件,其中有对listview的使用,但你使用这个项 ...

  8. maven添加外部jar,以及springboot打包

    一.maven添加外部jar 可以通过设置scope的值来引用,代码如下: <dependency><groupId>ipaynow</groupId><ar ...

  9. php公众号菜单链接,微信公众号菜单栏添加外部链接

    很多微信公号新手用户想通过自己的公众号来推广其他的连接地址,对于认证用户来说可以直接添加,但对于未认证的新手用户来说不知道该怎么做,那么现在就来告诉大家添加外部链接的方法吧. 方法如下: 1.首先打开 ...

最新文章

  1. Leetcode 50. Pow(x, n)
  2. APUE读书笔记-18终端输入输出-14总结
  3. springboot 接受数组对象_SpringBoot+RabbitMQ 方式收发消息
  4. ListView中含有EditText时候--要命的焦点问题迎刃而解
  5. 简单的C语言程序合集
  6. 欧洲杯推动夜间消费发展 便利蜂晚20时-凌晨2时服务人次创新高
  7. Nginx负载均衡的详细配置及使用案例详解.
  8. 百度图神经网络——论文节点比赛baseline代码注解
  9. 动态(静态)加载DLL注意
  10. docker 查看容器名_如何查看Docker容器环境变量,如何向容器传递环境变量
  11. model存数据_数据库内核杂谈 存储
  12. 读取和修改caffemodel文件
  13. 中国医科大学计算机学院,中国医科大学是一个什么水平的大学?
  14. easyui datagrid checkbox使用
  15. 【算法随记二】线卷积积分及其在图像增强和特效方面的应用(一)
  16. Oceans (where feet may fail)
  17. 4毛发渲染及着色方法
  18. 【数学建模】最小二乘回归+Java代码实现
  19. BenchmarkSQL配置参数介绍
  20. ibm服务器型号规则,IBM服务器型号是怎么命名的?

热门文章

  1. RTX操作系统教程[00]
  2. ApiPost——高效生成接口文档好方法
  3. 海思3531添加移远EC20 4G模块
  4. 2022秋软工实践2:结对编程
  5. 开店星简直就是国内优秀的开源商城系统天花板
  6. 送5本《Kafka权威指南》第二版
  7. R语言安装包报错:package ‘EDASeq ’ is not available for Bioconductor version ‘3.15‘
  8. 华为手机微信如何与电脑连接到服务器,有华为手机,还用微信QQ传文件到电脑?Huawei share秒传了解一下...
  9. WiFi、蓝牙以及双WiFi流程
  10. JVM(七) - Jvm内存模型