module my38(a,b,c,out
);input a;input b;input c;output reg[7:0]out;always@(a,b,c)        //写*也可以,就是case里的对象begincase({a,b,c}) //合成3位的二进制3'b000: out = 8'b0000_0001;3'b001: out = 8'b0000_0010;3'b010: out = 8'b0000_0100;3'b011: out = 8'b0000_1000;3'b100: out = 8'b0001_0000;3'b101: out = 8'b0010_0000;3'b110: out = 8'b0100_0000;3'b111: out = 8'b1000_0000;default out = 8'b0000_0001;endcaseend
endmodule

testbench

`timescale 1ns/1nsmodule my38_tb();reg a;reg b;reg c;wire [7:0]out;my38 my1(.a(a),.b(b),.c(c),.out(out));initialbegina=0;b=0;c=0;#100;a=0;b=0;c=1;#100;a=0;b=1;c=0;#100;a=0;b=1;c=1;#100;a=1;b=0;c=0;#100;a=1;b=0;c=1;#100;a=1;b=1;c=0;#100;a=1;b=1;c=1;#100;$stop;end
endmodule

FPGA 38译码器相关推荐

  1. 记录使用Spartan-6 FPGA进行一次3-8译码器实验

    之前仔细的记录过一次PWM蜂鸣器的实验,见博文:全过程实现一个最简单的FPGA项目之PWM蜂鸣器控制,这里就不再仔细的写下全过程了,因为都是一样的过程,只记录一下做这个小实验的思路即可. 3-8译码器 ...

  2. 学习笔记——3-8译码器实例(FPGA)

    一.3-8译码器简介 1.简介 译码是编码的逆过程.其功能是将具有特定含义的二进制码进行辨别,并转换成控制信号,具有译码功能的逻辑电路称为译码器.如果有n个二进制选择线,则最多可译码转换成2n个数据. ...

  3. fpga组合逻辑(4位比较器、8-3优先编码器、38译码器实现全减器、数据选择器实现逻辑函数等)

    目录 组合逻辑 VL11 4位数值比较器电路 VL12 4bit超前进位加法器电路 VL13 优先编码器电路① VL14 用优先编码器①实现键盘编码电路 VL15 优先编码器Ⅰ VL16 使用8线-3 ...

  4. 3-8 译码器设计实验--VHDL

    一.实验目的 (1)学习并掌握Quartus II的使用方法 (2)学习使用原理图方法进行逻辑设计输入,并初步了解可编程器件设计的全过程 (3)熟悉ALTERA公司EDA设计工具软件Quartusll ...

  5. (147)Verilog编程:使用3-8译码器实现全减器

    (147)Verilog编程:使用3-8译码器实现全减器 1.1.1 本节目录 1)本节目录: 2)本节引言: 3)FPGA简介: 4)Verilog编程:使用3-8译码器实现全减器: 5)结束语. ...

  6. FPGA实验——译码器原理及实现

    实验一.– 用1个拨码开关控制所有的LED灯亮灭 实验二. 1.放置2个2-4译码器模块,则总共有2组SW,每组2个,2组LED,每组4个,每组SW分别控制其对应的LED组. 2.参照代码,设计一个3 ...

  7. 38译码器数码管c语言代码,38译码器驱动数码管电路图

    使用38译码器来驱动数码管来节省IO端口 (1)什么是38译码器? 38译码器有3个输入端口A.B.C和8个输出端口Y0-Y7.由输入端口控制输出端口的值 (2)为什么要使用38译码器 回想之前的驱动 ...

  8. 牛客刷题<19>使用3-8译码器实现逻辑函数

    题目:使用3-8译码器①实现逻辑函数_牛客题霸_牛客网 思路:此方法可适合于任何逻辑函数,需要将L=(~A)·C+A·B 逻辑式转换为最小项的形式. 实现逻辑表达式 38译码器的输出实际上包含了输入A ...

  9. 51单片机交通灯(定时器+38译码器+中断)

    51单片机交通灯这个实例主要用到的知识点有: >如何点亮LED >38译码器位选与段选 >定时器控制 >中断控制 #include <reg52.h>/****** ...

  10. 计算机组成原理画出CPU与主存及3-8译码器之间信号线的连接问题

    [前驱知识–主存储器扩展]      主存储器表达方法:字线(地址线) × 位线(数据线)      *CS片选信号线.WE读写控制信号线.A地址线.D数据线. 位扩展(数据线扩充) 例如:两片16K ...

最新文章

  1. RSA、MD5等加密算法的区别和应用
  2. 皮一皮:一顿操作猛如虎...
  3. python27安装-linux安装python2.7
  4. [学习笔记]多项式指数函数
  5. 网络版ATM项目的实现——客户端
  6. 如何使用此功能强大的工具将Wemo添加到Homekit
  7. STM32固件库文件分析
  8. 多元函数概念思维导图_(重要!)高中数学概念品味+思维导图(全)-2020年1月13日更新 第16章(最后一章) 统计初步...
  9. php bmp中创建图像bmp2gd,让GD支持32位BMP
  10. Python之对list进行切片
  11. 每 2.35 个月开发一款新游戏,这个公司是如何做到的?
  12. java8中一个极其强悍的新特性Stream(非常实用)
  13. 测试驱动开发全功略(转)
  14. jdk1.7 64位官方下载地址
  15. PDF证书加密文件如何解密?
  16. 行式 Excel 文件去重
  17. 7月11号,大连小雨
  18. Kmeans 算法 收敛
  19. 什么是GB18030编码?
  20. java io流上传图片_SpringBoot上传图片和IO流的基本操作

热门文章

  1. [渝粤教育] 西南石油大学 岩体力学 参考 资料
  2. H5文章分享给好友或朋友圈后如何生成自定义分享内容
  3. QT的Q3DScatter使用(三维可视图)
  4. ESP8285调试记录
  5. micropython 移植k210_重构+优化 micropython 下 k210 (esp8285) 的 AT network 通信过程(附代码,顺便讲讲状态机实现)。...
  6. 最新Axure谷歌浏览器Chrome扩展程序安装方法
  7. 如何按行政区划下载谷歌卫星地图并裁剪
  8. Oracle之rollUp函数
  9. ansible模块--setup模块
  10. Python编程实现预剪枝的CART决策树