第二章:Xilinx FPGA的结构和分类

目前主流的FPGA都采用基于SRAM工艺的查找表结构,也有一些军品和宇航级FPGA采用Flash或者熔丝与反熔丝工艺的查找表结构。

1、查找表的结构和功能

查找表(Look-Up-Table)简称LUT,LUT本质上就是一个RAM。目前多使用4输入的LUT,所以每一个LUT可以看成一个有4位地址线的RAM。当用户通过原理图或者HDL描述了一个逻辑电路以后,FPGA开发软件会自动计算逻辑电路的所有可能的结果,并把真值表事先写入RAM,每输入一个信号进行逻辑运算就等于输入一个地址进行查表,找出地址对应的内容,然后输出。

ps:1、LUT实现组合逻辑的功能由输入决定,而不是由复杂度决定;

2、LUT实现组合逻辑有固定传输延迟。

2、可配置模块(CLB)

每个CLB连接到一个开关矩阵用于访问通用的布线资源。一个CLB包含一对切片(Slice)。1个Slice包含4个6输入的查找表、8个触发器、多路复用器、算术进位逻辑。连个Slice构成一个CLB。这两个切片没有直接的相互连接关系,每个切片通过列组织在一起。

3、时钟资源和时钟管理单元

a、全局时钟:7系列FPGA提供了具有最高扇出的32个全局时钟线,全局时钟常常由CMT驱动,能完全消除基本的分布延迟。

b、区域时钟:一个区域定义为任何一个有50个I/O和50个CLB高、和半个芯片宽度的区域。7系列FPGA有8~24个区域。每个区域内有4个时钟跟踪。

c、I/O时钟:I/O时钟非常快,只用于I/O逻辑和串行化/解串行(SerDes)电路。7系列提供了从MMCM到I/O的直接连接,用于低扭曲和高性能接口。

d、时钟管理模块(clock management tile,CMT)包含一个混合的时钟管理器(mixed-mode clock manager,MMCM)和一个相位锁相环(phase lock loop,PLL)。

f、块存储器单元:大多数FPGA都具有内嵌的RAM,可以用于高性能状态机、FIFO缓冲区、大的移位寄存器、大的LUT或者ROM。

g、互联资源:FPGA内部定义了不同类型的布线,通过长度来定义。较长的路径元素对于较长的距离来说速度更快

h、专用的DSP块:7系列FPGA内集成了专用的、充分定制的低功耗XtremeDSP DSP48E1 DSP模块。

i、输入输出块:

j、吉比特收发器:

k、PCI-E模块:

l、XADC模块

xilinx fpga学习笔记2相关推荐

  1. xilinx fpga学习笔记5:Xst综合属性

    第六章:设计综合和行为仿真 6.1 设计综合 本节将详细介绍设计综合的概念,综合属性的设置,综合过程的实现,并且通过查看原理图符号更加直观的建立HDL高级描述和FPGA底层源语之间的联系. 6.1.1 ...

  2. 小梅哥Xilinx FPGA学习笔记2——三八译码器

    三八译码器 〇.功能介绍 1.功能描述 2.真值表 一.代码编写 1.设计文件 2.激励文件 3.仿真图 二.总结 三.课后作业 1.设计文件 2.激励文件 3.仿真图 〇.功能介绍 1.功能描述 译 ...

  3. 小梅哥Xilinx FPGA学习笔记1——二选一多路器

    二选一多路器 〇.功能介绍 1.功能描述 2.原理图 一.代码编写 1.设计文件 2.激励文件 3.仿真图 二.总结 〇.功能介绍 1.功能描述 sl为控制信号,a,b为两个输入信号,out为输出信号 ...

  4. FPGA学习笔记之Altera FPGA使用JIC文件配置固化教程

    FPGA学习笔记之Altera FPGA使用JIC文件配置固化教程 很多做过单片机的朋友都知 道,我们在对MCU烧写完程序固件后,那么该程序固件就存储在了该MCU内部.即使MCU断电了再重新上电,程序 ...

  5. FPGA学习笔记(七): DSB调制解调的仿真

    笔记七是DSB调制解调的仿真实现. DSB调制解调的实现原理:首先使用DDS产生低频正弦波信号作为调制信号,再用DDS产生高频信号作为载波信号,然后使用乘法器将两者相乘产生DSB信号,DSB信号与载波 ...

  6. FPGA学习笔记(1)简单的时序逻辑电路——流水灯

    FPGA学习笔记(1)简单的时序逻辑电路--流水灯 编程语言为Verilog HDL 原理 (1)设计一个计数器,使开发板上的4个LED状态每500ms翻转一次.开发板上的晶振输出时钟频率为50MHz ...

  7. FPGA学习笔记(八)同步/异步信号的打拍分析处理及亚稳态分析

    系列文章目录 一.FPGA学习笔记(一)入门背景.软件及时钟约束 二.FPGA学习笔记(二)Verilog语法初步学习(语法篇1) 三.FPGA学习笔记(三) 流水灯入门FPGA设计流程 四.FPGA ...

  8. FPGA学习笔记_ROM核调用与调试

    FPGA学习笔记 ROM核调用与调试 1. ROM存储器IP核的使用 2. 创建.mif文件 3. In system memory content editor内存查看工具的使用 4. Signal ...

  9. FPGA 学习笔记:Vivado 2020.2 MicroBlaze MIG 测试 DDR3 篇尾

    FPGA 学习笔记:Vivado 2020.2 MicroBlaze MIG 测试 DDR3 篇一 FPGA 学习笔记:Vivado 2020.2 MicroBlaze MIG 测试 DDR3 篇二 ...

最新文章

  1. 用户界面改变图片锚点
  2. Leetcode1685. 有序数组中差绝对值之和[C++题解]:前缀和和差的绝对值之和
  3. Git三种报错:E325: ATTENTION、Please enter the commit message for your changes、Timed out
  4. win下使用VM虚拟机安装Linux系统
  5. pytorch maskrcnn实战
  6. gan loss gan_我的GAN怎么了?
  7. mysqldump全量+增量备份
  8. eclipse卸载插件
  9. python画图星空_编程从绘画开始:用Python画一幅《月夜星空》图
  10. java 三阶幻方编程_巧解三阶幻方
  11. “视”不可挡:征兵招警,近视手术成“通关法宝”
  12. mysql 统计男女生人数_数据库查询男女的人数分别是多少?
  13. 名帖33 赵孟頫 隶书《千字文》
  14. 为何应用型本科(机器人工程等专业)大量学生选择考研
  15. c语言寻找因数,【代码】求一个数的因数和、求优化、顺便也供新人参考算法...
  16. 全球十大资质正规外汇期货平台排行榜(最新版汇总)
  17. h0206. 区间选点
  18. 论文阅读——A Deep Learning Design for improving Topology Coherence in Blood Vessel Segmentation
  19. IC基础知识(十四)Flip-Flop和Latch的区别
  20. 以 rte_mempool_ops_table 为例描述 dpdk 程序库链接顺序对程序执行的影响

热门文章

  1. 电机EMC之降噪“三叉戟”
  2. C语言学生信息管理系统设计方案,c语言程序设计方案报告图书标准管理系统
  3. 秋天来了,风伯伯把夏天的炎热吹走了
  4. 微信小程序使用watch监听数据变化
  5. Elastic_Stack
  6. ReSharper安装
  7. websocket系列:基于SockJS和STOMP实现
  8. 利用计算机探索规律,用计算机探索规律2
  9. 机器学习算法系列(四)- 岭回归算法(Ridge Regression Algorithm)
  10. 自己动手制作MUD客户端服务程序