层次化设计——元件例化语句的整理

背景

这是我上周的作业,做的过程中遇到很多问题,我想要是不及时整理下来很快就会忘记,上题目(很简单的一个问题,怪我没在课上好好听):
“用VHDL语言输入方法在Quaruts软件上设计二输入与门和二输入或门逻辑,并尝用元件例化语句进行层次化设计,实现顶层异或设计。”
(整理过程中不时地会插入我的碎碎念,请自动忽略)

过程(以作业题为例)

所用软件:quartus II 9.0
1、新建工作库目录用于存储工程项目文件,即建立一个文件夹。
2、创建工程:File->New Project Wizard,选择工程路径(第一步的文件夹),输入工程名称“zy7”以及顶层文件名"zy7"。
3、之后的我都按默认来了(里面有些步骤还是不太懂含义,但是就目前来说默认的就可以)
4、源文件输入,这里自然是采用VHDL语言输入,所以选择File->New…->VHDL file。这里我连续建了三个,底层与门(“add2”)或门(“huo2”)各一个,以及顶层(“zy2”)一个。
5、与门:

LIBRARY ieee;
USE ieee.std_logic_1164.all; LIBRARY work;ENTITY add2 IS PORT(pin_a :  IN  STD_LOGIC;pin_b :  IN  STD_LOGIC;pin_c :  OUT  STD_LOGIC);
END add2;ARCHITECTURE bdf_type OF add2 IS BEGIN pin_c <= pin_a AND pin_b;END bdf_type;

(还没搞懂怎么在这插入代码,笑哭)
或门:

LIBRARY ieee;
USE ieee.std_logic_1164.all; LIBRARY work;ENTITY huo2 IS PORT(pin_A :  IN  STD_LOGIC;pin_B :  IN  STD_LOGIC;pin_c :  OUT  STD_LOGIC);
END huo2;ARCHITECTURE bdf_type OF huo2 IS BEGIN pin_C <= pin_A or pin_B;END bdf_type;

顶层:

library ieee;
use ieee.std_logic_1164.all;entity zy7 is
port(
x:in std_logic;
y:in std_logic;
z:out std_logic
);
end zy7;architecture one of zy7 is
component add2
port(
pin_a:in std_logic;
pin_b:in std_logic;
pin_c:out std_logic
);
end component;
component huo2
port(
pin_A:in std_logic;
pin_B:in std_logic;
pin_C:out std_logic
);
end component;
signal m1,m2:std_logic;
begin
u1:add2
port map(
pin_a=>x,
pin_b=>y,
pin_c=>m1);
u2:huo2
port map(
pin_A=>x,
pin_B=>y,
pin_C=>m2);
z<=m1 xor m2;
end one;

6、综合分析(都写完后再测试,一个一个地不可以,最后测试的意思应该是将底层和顶层联系起来了吧,我感觉),然后生成RTL视图,Tools->Netlist Viewer->RTL Viewer.

7、接下来进行仿真,

额…无力吐槽,为什么不能图片旋转。纸张背景请忽略,我手头实在没纸了。
8、就我目前所学,就能归纳整理成这个样子了,之后再补再改吧。
话说,会不会有大佬看到啊,若是有的话,请不吝赐教。

quartus——使用vhdl语言实现层次化设计相关推荐

  1. 基于VHDL语言八位加法器设计

    基于VHDL语言八位加法器设计 设计思路 ​ 加法器是数字系统中的基本逻辑器件,减法器和硬件乘法器都可由加法器来构成.多位加法器的构成有两种方式:并行进位和串行进位.并行进位加法器设有进位产生逻辑,运 ...

  2. 基于VHDL语言的状态机设计

    基于VHDL语言的状态机(FSM)设计 状态机(Finite State Machine,FSM) 状态机的组成:如图所示 状态机的种类: Mealy型:当前状态.当前输入相关 Moore型:仅当前状 ...

  3. VHDL语言Process

    FPGA编程, VHDL语言, 芯片ALTERA Cyclone EP1C60240C8, UP3-1C6实验板, Quartus II. VHDL语言中一般定义一个Entity, Entity中定义 ...

  4. 计算机组成原理VHDL语言实现16位ALU实验

    计算机组成原理实验第二个,VHDL语言,ISE设计环境设计一个16位的ALU. 资源下载: 链接:https://pan.baidu.com/s/1cyhJ2ZynUMMFnYi2YOIMmA 提取码 ...

  5. 基于VHDL语言的8路彩灯控制器的设计_kaic

    摘  要 伴随着我国电子科学技术的发展,彩灯越来越多地被融入到现代生活中的各式各样的景观中,彩灯作为一种装饰生活的观赏工具,不仅满足了人们视觉上的享受和娱乐,同时受关注的还有彩灯的花式花样.创意.节能 ...

  6. 五子棋游戏设计VHDL语言

    本设计实现五子棋游戏设计,使用VHDL语言 quartusII 或者 vivado均可 通过VGA显示实时的棋盘,双方可以通过按键来控制黑棋和白棋的位置,实现五子棋游戏的功能. 开始和胜利的界面如下: ...

  7. FPGA课程设计使用VHDL语言

    下面是FPGA的课程设计 使用VHDL语言,有程序和报告

  8. (萌新的数电学习)用VHDL语言设计CPU

    一.目的: 完整.连贯地运用<数字逻辑>所学到的知识,熟练掌握 EDA 工具基本使用方法,为学习好后续<计算机原理>课程做铺垫. 二.设计内容 (一) 按照给定的数据通路.数据 ...

  9. (萌新的数电学习)用VHDL语言设计4位行波进位加法器

    实验背景: 全加器是实现三位数相加的组合逻辑电路,共有三个输入,两个输出.输入变量中的两个用 X 和 Y 表示,代表两个加数,第三个输入 Z 表示低位产生的进位.两个输出用 S(和)与 C(进位)来表 ...

最新文章

  1. RTX 2080时代,如何打造属于自己的深度学习机器
  2. 基于SqlSugar的数据库访问处理的封装,支持多数据库并使之适应于实际业务开发中
  3. 服务器即将维护完成,新一轮大服务器即将开启:3月26日维护8小时
  4. 《谈谈认同》这个文章有点晦涩 写的不太好
  5. android控件详解大全 android开发有关资料
  6. UFS Power Management 介绍
  7. Java第一天笔记01——jdk8的安装与环境变量的配置
  8. GMT,UTC,CST,ISO等时间标志以及时区的总结
  9. 探索跨平台应用开发的最佳实践
  10. Python/Basemap绘制美国人口分布示意图
  11. 基于SSM整合阿里直播服务----java代码实现
  12. html立体图表样式,项目进度可视化图表
  13. Zend框架:Zend_Nosql_Mong​​o组件建议
  14. Web网站HTML打开QQ聊天窗口示例
  15. 【Qt象棋游戏】03_象棋棋子摆放
  16. STM32H7的CAN FD教程笔记
  17. 何海涛——《剑指Offer:名企面试官精讲典型编程题》一书中IT名企经典面试题
  18. 腾讯WeMap,一颗“孢子”的数智化之旅
  19. QT下载网址(国内)
  20. HTTP安全与HTTPS协议

热门文章

  1. NDI是什么?NDI协议传输让网络直播更有趣
  2. 这个扯淡的世界!一个80后眼中的中国经济
  3. 计算机更新无法卸载,电脑上有无法卸载的软件怎么办?
  4. 全新java架构技术框架Quarkus实战神仙文档
  5. sql2000 mysql 兼容_sql2005兼容2000 | 向后兼容组件
  6. 记录一次nodejs爬取《17吉他》所有吉他谱(只探讨技术)
  7. java 语言程序设计 李尊朝 第12章 Swing 组件
  8. Python爬虫实现电影资源下载方法
  9. 【病毒专杀】CAD/CAM病毒专杀辅助
  10. 后来者当仁不让 Android系统手机