基于f = 100Hz的Clock设计一个数字时钟,用Verilog实现以下功能
1、产生时、分、秒的计时
2、可通过3个按键来设置时、分、秒值

module clock(input clk,input rst_n,input hour_set,input [4:0] hour_set_value,input minute_set,input [5:0] minute_set_value,input second_set,input [5:0] second_set_value,output reg [4:0] hour,output reg [5:0] minute,output reg [5:0] second
)reg clk_1;
reg [6:0] cnt;
// get 1HZ clk
always@(posedge clk or negedge rst_n) beginif(!rst_n) cnt <= 0;else if(cnt == 99)cnt <= 0;elsecnt <= cnt + 1;
endalways@(posedge clk or negedge rst_n) beginif(!rst_n) clk_1 <= 0;else if(cnt < 50)clk_1 <= 0;elseclk_1 <= 1;
endalways@(posedge clk_1 or negedge rst_n) beginif(!rst_n) second <= 0;else beginif(second_set)second <= second_set_value;else if(second == 59)second <= 0;elsesecond <= second + 1;end
endalways@(posedge clk_1 or negedge rst_n) beginif(!rst_n) minute <= 0;else beginif(minute_set)minute <= minute_set_value;else if( (minute==59) && (second==59) )minute <= 0;else if(second==59)minute <= minute + 1;end
endalways@(posedge clk_1 or negedge rst_n) beginif(!rst_n)hour <= 0;else beginif(hour_set)hour <= hour_set_value;else if( (hour==23) && (minute==59) && (second==59) )hour <= 0;else if((minute==59) && (second==59))hour <= hour + 1;end
endendmodule

Verilog实现数字时钟相关推荐

  1. 基于QuartusII的verilog数字时钟设计

    基于QuautusII的Verilog 数字时钟设计 (1)基本功能 ①显示年.月.日.星期.时.分,秒,是否为闰年(只有校对生效情 况时间可以不连续) : ②定时与闹铃:到设定的时间(选择周一至周末 ...

  2. Verilog数字系统设计——数字时钟(带暂停和任意位置位)

    verilog数字时钟设计 功能说明 问题分析及模块实现 模24计数器 模60计数器 模6计数器 模10计数器 模60计数器 数码管驱动电路 11位控制位说明 分频器 数字时钟 顶层文件实现 写在后面 ...

  3. 基于Verilog使用Quartus设计数字秒表和数字时钟

    目录 一.数字秒表 1.1 新建工程 1.2 添加 Verilog 文件 1.3 添加 VWF 文件 1.4 波形仿真 二.多功能数字钟 三.总结 本文内容:使用 Quartus 基于 Verilog ...

  4. Verilog 数字时钟

    要求图 关注微信公众号 FPGA之旅,回复 数字时钟 获取免费下载链接

  5. Verilog设计实例(7)基于Verilog的数字电子钟设计

    博文目录 写在前面 正文 设计要求 设计思想 设计文件 仿真文件 参考资料 交个朋友 写在前面 前段时间,有几个小伙伴向我请教数字电子钟设计的问题,这个问题我在之前的BCD计数器以及数码管显示问题中已 ...

  6. 基于Nexys4DDR的数字时钟设计

    本工程使用verilog编码. 一.功能描述如下: 设计一个多功能数字时钟,有基本的数字时钟.秒表.闹钟三个功能.其中,数字时钟可设置,秒表可以暂停和清零,闹钟可设置,到达设定时间后LED灯亮. 二. ...

  7. 基于FPGA的数字时钟的设计课设(HUAT)

    目录 前言 一.数字时钟课设目标 二.部分代码 1.clock.v代码的编写 2.完整代码 3.仿真代码 总结 前言 学校黄老师的FPGA的设计课设,最后的课设为数字时钟,实现分时的计数功能,带有整点 ...

  8. FPGA初学记录——数字时钟系统搭建(上)

    FPGA初学记录--数字时钟系统搭建(上) 野火征途Pro开发板教程--数码管动态展示拓展训练,数字时钟系统搭建 文章目录 FPGA初学记录--数字时钟系统搭建(上) 前言 一.问题简述 二.功能解析 ...

  9. <Verilog实现数字分频器> 偶数分频器

    一,内容介绍 分频器是数字电路的常见IP,将高频时钟分为低频时钟信号以供外设使用. 下面我们用verilog实现一个偶数分频器 进一步结合奇数分频器组合为整数分频器 再一步升级为小数分频器 二,数字分 ...

  10. 基于FPGA的简易数字时钟

    基于FPGA的可显示数字时钟,设计思路为自底向上,包括三个子模块:时钟模块,进制转换模块,led显示模块.所用到的FPGA晶振频率为50Mhz,首先利用它得到1hz的时钟然后然后得到时钟模块,把时钟模 ...

最新文章

  1. 编写声卡驱动(框架)
  2. can't load apple.laf.AquaLookAndFeel (Ant in Eclipse can't find it
  3. linux 目录大小是12288,【系统知识点】linux目录结构(示例代码)
  4. 奇安信代码安全实验室帮助微软修复多个高危漏洞,获官方致谢
  5. 2019-5-5学习心得
  6. java泛型,“参数化参数”的基本理解
  7. Python:eval函数
  8. 亚马逊云科技顾凡:持续创新的关键是企业已构建起现代化应用
  9. Allegro中显示mil和mm两种单位
  10. 洛谷试炼场一句话题解
  11. python暂停命令_命令行-Python中的暂停
  12. 在不同领域,大家用爬虫怎么盈利的-Java网络爬虫系统性学习与实战系列(4)
  13. SRS 代码分析【HLS切片】
  14. Cisco设备的启动以及交换机的介绍
  15. InstantNGP
  16. 使用Excle做数据分析与时间序列季节指数预测
  17. 作为一名合格的大学生,如何在B站愉快的学习
  18. 整理18、19、20年关于《人工智能》的标准和评估规范
  19. Shopee开店不懂英语怎么办?
  20. 《手把手构建人工智能产品》-产品经理的AI实操手册

热门文章

  1. 两张图片放在一起有条白线_用photoshop对两幅图无缝拼接后,怎么消除接口那条明显的线?...
  2. 苹果手机屏幕镜像_微软应用上线屏幕镜像功能:可在PC端控制安卓手机
  3. 魔兽世界阿拉索人数最多服务器,魔兽世界8月国服人口普查 2019wow各服务器阵容比例汇总...
  4. spss变量视图转数据视图_SPSS的数据视图和变量视图
  5. android辅助功能截屏,安卓截屏快捷键截屏方法以及其他的截屏方法【图文】
  6. Docker 容器中添加字体
  7. 西瓜书重温(五): 神经网络手推版
  8. 网络分析仪的校准和测试方法
  9. 【历史上的今天】12 月 26 日:现代计算机鼻祖诞生;手机之父出生;美国史上最大信息盗窃案
  10. 小程序image组件自适应宽高比