博文目录

  • 写在前面
  • 正文
    • 设计要求
    • 设计思想
    • 设计文件
    • 仿真文件
  • 参考资料
  • 交个朋友

写在前面

前段时间,有几个小伙伴向我请教数字电子钟设计的问题,这个问题我在之前的BCD计数器以及数码管显示问题中已经分开谈过了,既然大家还有需求,不妨在这里集中总结一下!

  • 个人微信公众号: FPGA LAB
  • 个人博客首页

正文

设计要求

基于模块化的设计思想, 采用 Verilog HDL 语言设计一个能进行时、分、秒计时的二十四小时制的数字电子钟, 并具有整点报时功能。 采用数码管进行时间显示,要求显示格式为:小时-分钟-秒钟。

在 EDA 软件中完成数字电子钟的源代码设计, 并进行仿真, 仿真结果要能够体现出时、分、秒各自的跳变过程, 并且能够给出整点报时控制信号的变化过程。
1、独立完成设计任务;
2、详细阐述设计方案,绘制系统设计框图;
3、详细阐述系统调试方案,编写测试文件并进行仿真;
4、撰写设计报告。详细阐述设计思想、指标论证、方案确定、结果分析等内容,并对所完成的设计做出总结和评价,对设计过程中遇到的问题及解决方法进行阐述。

我只关注前面的部分,就是设计一个具有时、分以及秒计时的二十四小时计时器,还具有整点报时,并用数码管显示!

设计思想

首先是时、分以及秒的计数问题,对于分和秒的情况,肯定是用模60计数器,但是你能直接计数到59然后清零吗?包括时计数器,一定是模24计数器,但是你能直接计数到23清零吗?
如果没有数码管显示的需求肯定没问题,我用十进制显示,仿真完美!
但是需要数码管显示的话,我们需要6个数码管,时、分以及秒各需要两个!拿小时计数器来说,由于小时就有两个数字,十分位和个位,使用两个数码管显示小时,第一个数码管显示十分位,第二个数码管显示个位!明显是要将十分位和个位分开显示,需要使用8421BCD码计数器来处理。
如果使用十进制的话,10就相当于0xa,如何显示呢?显示0a,这显示是不直观的,你家的时钟用a,b,c等等显示时间计数,你会不会打死设计师呢!
因此,我们使用8421BCD码来进行计数,这一步完成了就解决了80%的问题!
其次,便是数码管显示的问题,数码管显示时、分以及秒需要6个数码管,我们采用动态扫描的方式来显示,也就是控制片选轮流点亮数码管,只要你轮流的速度足够快,肉眼就会认为所有数码管一直亮!

说了那么多,其实就总结为如下两个主要模块!

  • 设计计时模块,用到模60计数器,模24计数器;
  • 设计数码管显示模块

我们严格遵守模块化的思想,设计文件结构如下:

仿真文件结构:

设计文件

设计思想已经谈过了,更多的细节请看参考资料,这里给出部分设计文件,如果需要全部设计文件的,可以关注我的微信公众号:FPGA LAB,后台回复:数字时钟,我会发给你资源设计文件链接!

模60计数器
由于分和秒计数都是60进制,因此,模60计数器模块是针对分秒计数功能的!
模60计数器的设计采用的是8421BCD码计数方式,由模10计数器以及模6计数器组成:

//模60计数器的Verilog HDL设计
module counter60(clk, rst_n, en, dout, co);input clk, rst_n, en;
output[7:0] dout;
output co;
wire co10_1, co10, co6;
wire[3:0] dout10, dout6;counter10 inst_counter10(.clk(clk), .rst_n(rst_n), .en(en), .dout(dout10), .co(co10_1)); //模10计数器的进位为co10_1
and u3(co10,en,co10_1); //co10_1与en的与为co10
counter6 inst_counter6(.clk(clk), .rst_n(rst_n), .en(co10), .dout(dout6), .co(co6)); //co10_1与en的与为co10,作为模6计数器的使能信号
and u4(co, co10, co6); //模6计数器的进位和模6的使能信号co10的与作为模60计数器的进位assign dout = {dout6,dout10}; //模60计数器的输出,高位为模6计数器的输出,低位为模10计数器的输出,读法是8421BCD码读法endmodule

可见,模60计数器例化了模10以及模6计数器,下面给出模10以及模6计数器的设计:

模10计数器

//模10计数器模块
module counter10(clk, rst_n, en, dout, co);input clk, rst_n, en;
output[3:0] dout;
reg [3:0] dout;
output co;always@(posedge clk or negedge rst_n)
beginif(!rst_n)dout <= 4'b0000;        //系统复位,计数器清零else if(en)if(dout == 4'b1001)     //计数值达到9时,计数器清零dout <= 4'b0000;elsedout <= dout + 1'b1; //否则,计数器加1elsedout <= dout;endassign co = dout[0]&dout[3];  //当计数达到5(4'b1001)时,进位为1,计数值为其他,都没有进位endmodule

模6计数器

//模6计数器模块
module counter6(clk, rst_n, en, dout, co);input clk, rst_n, en;
output[3:0] dout;
reg [3:0] dout;
output co;always@(posedge clk or negedge rst_n)
beginif(!rst_n)dout <= 4'b0000;        //系统复位,计数器清零else if(en)if(dout == 4'b0101)     //计数值达到5时,计数器清零dout <= 4'b0000;elsedout <= dout + 1'b1; //否则,计数器加1elsedout <= dout;endassign co = dout[0]&dout[2];  //当计数达到5(4'b1001)时,进位为1,计数值为其他,都没有进位endmodule

如果你觉得这样太繁琐,可以合起来写呀!

再给出模24计数器设计:

//8421BCD码计数器,模24
module counter24(clk, rst_n, en, dout);input clk, rst_n, en;
output[7:0] dout;
reg[7:0] dout;always@(posedge clk or negedge rst_n)          //异步复位
beginif(!rst_n)       //复位信号有效时,输出清零dout <= 8'b00000000;else if(en == 1'b0)   //计数使能无效时,输出不变dout <= dout;else if( (dout[7:4] == 4'b0010)&&(dout[3:0] == 4'b0011) )  //计数达到23时,输出清零dout <= 8'b00000000;else if(dout[3:0] == 4'b1001)       //低位达到9时,低位清零,高位加1begindout[3:0] <= 4'b0000;dout[7:4] <= dout[7:4] + 1'b1;endelse                     //上述情况都没有发生,则高位不变,低位加1begindout[7:4] <= dout[7:4];dout[3:0] <= dout[3:0] + 1'b1;end
end
endmodule

将三面的模块例化进数字时钟模块内,构成24小时计时器!

//数字时钟计数器
module digital_clock(input clk,input rst_n,input en,output [7:0] hour,output [7:0] min,output [7:0] sec,output tweet);wire co_sec1,co_sec,co_min,co_min1;counter60 inst_sec(.clk(clk), .rst_n(rst_n), .en(en), .dout(sec), .co(co_sec1));
and inst_and_sec(co_sec,en,co_sec1);
counter60 inst_min(.clk(clk), .rst_n(rst_n), .en(co_sec), .dout(min), .co(co_min1));
and inst_and_min(co_min,co_sec,co_min1);assign tweet = (min == 0)&&(sec == 0) ? 1 : 0; // report time signalcounter24 inst_hour(.clk(clk), .rst_n(rst_n), .en(co_min), .dout(hour));endmodule

代码部分就展示到这里吧,全部贴出来占用太多空间,需要全部代码的可以在公众号FPGA LAB 后台回复:数字时钟!

仿真文件

仿真文件再简单不过了,只需要给出使能以及时钟即可!

module digital_clock_tb();reg clk;reg rst_n;reg en;wire [7:0] hour;wire [7:0] min;wire [7:0] sec;wire tweet;initial beginclk = 0;forever begin#5 clk = ~clk;endendinitial beginrst_n = 0;en = 0;# 50@(negedge clk) rst_n = 1;@(negedge clk) en = 1;// #10000000 $finish;enddigital_clock inst_digital_clock(.clk(clk),.rst_n(rst_n),.en(en),.hour(hour),.min(min),.sec(sec),.tweet(tweet));endmodule

仿真波形


就到这里吧!


参考资料

  • 参考资料1
  • 参考资料2
  • 参考资料3
  • 参考链接4
  • 参考链接5

交个朋友

  • 个人微信公众号:FPGA LAB

  • 知乎:李锐博恩

  • FPGA/IC技术交流2020

Verilog设计实例(7)基于Verilog的数字电子钟设计相关推荐

  1. 【数字电子钟】基于maxplusII的数字电子钟设计

    1.软件版本 MaxplusII 2.本算法理论知识 1 设计策划 系统的工作原理:振荡器产生稳定的分频脉冲信号,作为数字钟的时间基准,然后经过分频器输出标准秒脉冲.秒计数器满60分向分计数器进位,分 ...

  2. 计算机组成原理电子时钟设计与实现,《计算机组成原理》课程设计报告-基于VHDL数字电子钟设计与实现.doc...

    <计算机组成原理>课程设计报告-基于VHDL数字电子钟设计与实现 长沙理工大学 <计算机组成原理>课程设计报告 XXX 学 院 计算机与通信工程 专 业 网络工程 班 级 网络 ...

  3. 基于FPGA的数字时钟设计

    基于FPGA的数字时钟设计 芯片与开发板 技术指标 1.具有正常的日时分秒技术显示功能,用七个数码管分别显示日,时,分,秒. 2.有按键校日,校时,校分,校秒. 3.利用led模拟整点报时功能. 4. ...

  4. 基于STM8的数字温度计设计

    基于STM8的数字温度计设计 这是在2021年12月初完成的一次课程设计,全程自己设计组装完成,现作为记录存档发布,大家也可以借鉴本文来完成自己的课程设计.(建议使用电脑阅读) 课程设计目录 基于ST ...

  5. 基于单片机的数字时钟设计

    设计简介: 本设计是基于单片机的数字时钟设计,主要实现以下功能: 实现体力显示,24小时的时钟计时: 实现星期显示: 实现温度实时采集显示: 实现通过按键修改时间信息: 实现通过LCD12864实时显 ...

  6. 【信号处理】基于Matlab GUI数字均衡器设计,源码附上

    [信号处理]基于Matlab GUI数字均衡器设计,源码附上 数字均衡器是一种常用于音频信号处理的技术,可以有效地补偿声音中的频率失真,提高音质.在这篇文章中,我们将介绍如何使用Matlab GUI进 ...

  7. 基于CPLD的数字时钟设计

    本科学生EDA课程设计论文 题      目:基于CPLD的数字时钟设计 院  (系)工程与设计学院 专业.年级 19级电子信息工程 2021年   7 月 8 日 目录 第1章 绪  论 1.1 时 ...

  8. 大倾角DJC50DJC波状挡边输送机 轮式移动机器人结构设计 单片机定时闹钟设计 基于单片机的数字钟设计 圆皮带输送机 悬吊式升降机 倍速链条输送机…设计

    汽车输送线改装的链条机 DTII(A)B1000车式拉紧皮带机 翻斗上煤机示意图 180m2烧结机总装配图(左式)2清理后 MSB20dsz-60加湿机(cad2004) 机箱电源生产线方案图 DTⅡ ...

  9. 数电实验 数字电子钟设计 基于quartus 实现计时校时闹钟秒表稍复杂音频 分享电路图设计以及工程文件

    数字电子钟设计 本文主要完成数字电子钟的以下功能 1.计时功能(24小时) 2.秒表功能(一个按键实现开始暂停,另一个按键实现清零功能) 3.闹钟功能(设置闹钟以及到时响10秒) 4.校时功能 5.其 ...

最新文章

  1. mysql设置了utf8mb4还是报错_第07期:有关 MySQL 字符集的 SQL 语句
  2. 网络编程学习笔记(广播)
  3. 如何编写高性能的C#代码(一)
  4. 不一样的ZTree,权限树.js插件
  5. 二维数组做函数参数传递
  6. 泛泰A860(高通公司8064 cpu 1080p) 拂4.4中国民营recovery TWRP2.7.1.2文本(通过刷第三版)...
  7. 在windows上搭建redis集群(Redis-Cluster)
  8. pythonweb项目面试题_python和web框架面试题目整理(1)
  9. java类的加载,链接,初始化
  10. system2之:4-LVM逻辑卷管理
  11. hadoop常见组件及其功能
  12. 不正确的c语言字符常量是,哪个是不正确的字符常量?
  13. java堆栈常用排查指令
  14. 如何在线批量将JPG图片转Word文件
  15. Arduino UNO驱动DS1307数字实时时钟RTC
  16. 2023 年大淘宝 Web 端技术概览
  17. 阿里巴巴巨震,堪比地震
  18. 矩阵转置运算简单总结
  19. Installation and use of OpenWrt (x86) | Hardware
  20. 最大传输单元MTU详解

热门文章

  1. chgrp r mysql ._mysql操作命令
  2. python是高级动态语言_Python动态语言之魅力揭秘
  3. eclipse 插件扩展新建java页面_java-Eclipse插件-弹出菜单扩展
  4. python3 列表_Python3 列表生成
  5. java 手机号隐藏_Java隐藏银行卡号或者手机号中间几位,用*号代替工具类实现HideDataUtil...
  6. 一款NPN三极管测量: BC547C
  7. 第十六届智能车竞赛安徽赛区成绩与奖项公示
  8. 2021年春季学期-信号与系统-第十四次作业参考答案-第四小题参考答案
  9. 基于STM32对于三轴机械臂控制器设计
  10. SP-45ML光电二极管放大电路及其动态特性