绝对零基础开始┭┮﹏┭┮

1、数字电子技术基础

学习视频:

中国大学mooc 数字电子技术 北京科技大学

中国大学moocVLSI设计基础(数字集成电路设计基础)东南大学

2、学习芯片开发所需要的环境

Linux环境

  • 编译器:Vim
  • 脚本语言:tcl/python/perl
  • makefile

Vim学习:

个人笔记:

IC日记-----vim教程 (qq.com)

IC日记------vim的应用 (qq.com)

IC日记 -------vim 配置文件.vimrc的修改 (qq.com)

可以 参考学习的视频:

vim入门教程(共3讲) 正月点灯笼

makefile学习: 

参考博客: 

如何编写一个Makefile文件(手把手的教你)_Wyatt_zhai的博客-CSDN博客_makefile文件编写

如何编写一个Makefile_水墨长天的博客-CSDN博客_makefile如何写

参考视频:

【c语言】11.如何编写Makefile文件

EDA工具:

  • VSC/Questasim 编译仿真
  • Verdi   可视化工具

vcs分步编译仿真_hh199203的博客-CSDN博客_vcs 编译

Verdi 基础教程_沧海一升的博客-CSDN博客_verdi

3、Verilog

以下划分,根据自己目前学习的感觉划分的, 仅作参考

Verilog刷题网站:

牛客网Verilog刷题

知识点编排详细,题目安排合理,题目表述以指导的形式进行。整个题单覆盖了Verilog入门的全部知识点以及全部语法,通过知识点分类逐层递进。快速入门Verilog,并且后面还有进阶挑战。

HDLBits

从非常简单的语法开始学习。缺点是这网站都是英文描述的题目。(英文不好的同学可以使用牛客网,对于找工作笔试面试,牛客网的题目已经很全了)

初级——了解Verilog 语法

学习参考视频:

B站 Verilog零基础入门、6小时掌握Verilog语法(Verilog语法入门)

学习内容整理:(自己根据学习视频记录的笔记)

Verilog基础(根据HDLBits整理)

Verilog——反相器

Verilog——与非门

Verilog——多路选择器逻辑设计

Verilog——补码转换

Verilog——7段数码管译码器

Verilog——计数器

Verilog——伪随机码发生器

Verilog——秒计数器

Verilog——相邻点相加输出

Verilog——三角波发生器(状态机)

Verilog——串口数据接收(状态机)

Verilog——串口数据发送(状态机)

Verilog——串口指令处理器(状态机)


中间了解Verilog代码和综合生成电路之间的关系:

中国大学MOOC 芯动力——硬件加速设计方法  (第二章)(讲解Verilog和电路设计之间的关系)

学习内容整理:(自己根据学习视频记录的笔记)

Verilog HDL可综合描述(高质量Verilog书写)

可综合风格——在RTL书写中如何考虑延迟、面积、功耗、布线

RTL设计指导原则


中级——小模块学习

学习参考视频:

中国大学MOOC计算机组成与CPU设计实验 (使用Verilog设计的例子)

芯动力——硬件加速设计方法_西南交通大学_中国大学MOOC(慕课) (icourse163.org)

学习内容整理:(自己根据学习视频记录的笔记)

初级小模块 

三态门和多路器

《计算机组成与CPU设计实验》1 组合逻辑(一)

《计算机组成与CPU设计实验》实验1:使用三态门和多路选择器构成数据选择器

编码转换(编码器、译码器) 

《计算机组成与CPU设计实验》2 组合逻辑(二)

《计算机组成与CPU设计实验》实验2 七段译码器实验

寄存器(锁存器、触发器)

《计算机组成与CPU设计实验》3 时序逻辑(一)

《计算机组成与CPU设计实验》实验3:寄存器组(堆)实验

移位寄存器

《计算机组成与CPU设计实验》3 时序逻辑(二)

《计算机组成与CPU设计实验》实验4:流水灯与移位寄存器实验

计数器、分频器

《计算机组成与CPU设计实验》4 时序逻辑(三)

《计算机组成与CPU设计实验》实验5 计数器与分频器实验

状态机

《计算机组成与CPU设计实验》5有限状态机的Verilog HDL描述(Finite State Machine,FSM)

《计算机组成与CPU设计实验》实验6 彩灯控制器实验

进阶级模块

加减运算电路

《计算机组成与CPU设计实验》实验7 加减运算电路

算术逻辑单元

《计算机组成与CPU设计实验》实验8 算数逻辑单元(ALU)

数据通路

《计算机组成与CPU设计实验》实验9 单周期数据通路实验

存储器

《计算机组成与CPU设计实验》实验 10 存储器实验

硬布线控制

高级——大模块 

CPU设计

。。。

FIFO

学习视频:芯动力——硬件加速设计方法(3.2、3.3、3.4)

同步电路与跨时钟域电路设计1——单bit信号的跨时钟域传输(同步器)

同步电路与跨时钟域电路设计2——多bit信号的跨时钟域传输(FIFO)

同步FIFO

异步FIFO


检测自己学习:

【开卷】第30期 新华三2022数字芯片(设计验证后端)_笔经面经_牛客网 (nowcoder.com)


UART

数字ic设计——UART

。。。。。。

数字ic设计自学ing相关推荐

  1. 数字IC设计自学入门难吗?如何快速入门呢?

    首先数字IC设计自学还是比较困难的,数字IC设计,是不存在速成的. 曾经有同学发现自己两三个月学完了几本书,最终也只是纸上谈兵.大多企业连面试资格都不会给,即使有一个面试机会也是费尽力气争取来的.面试 ...

  2. 数字IC设计自学到底有多难?

    我们一直在说数字IC行业的门槛高,那到底有多高? 几层楼那么高? 前几天我们课程顾问来问我:"一个学生,是哈工大硕士,物理专业,能不能报?" 我回答:"先问问有没有集成电 ...

  3. 数字IC设计入门方法与资料大全

    0. 前言 正准备入行数字芯片设计的同志们可以看看以下几篇行业入门介绍的文章,因水平有限,文中难免存在疏漏.错误之处,与最新技术脱节之处必定不少,敬请细心的读者不吝指教.有用的您带走,没用的就当笑话看 ...

  4. 数字IC设计需要学什么?

    看到不少同学在网上提问数字IC设计如何入门,在学习过程中面临着各种各样的问题,比如书本知识艰涩难懂,有知识问题难解决,网络资源少,质量参差不齐.那么数字IC设计到底需要学什么呢? 首先来看看数字IC设 ...

  5. linux mipi驱动分析_寒武纪社招内推数字IC设计、DSI驱动、软件架构、产品经理、芯片架构、工具链开发、深度学习、FAE工程师...

    点击上方蓝字关注我吧! 为什么内推更靠谱?内推是基于人脉关系链的推荐,其背后有一定的信用背书,靠谱的人推荐的人相对也会比较靠谱,所以企业一般职位都是从内部开始分享的,相较于自己海投简历,内推的效率和成 ...

  6. 常见数字IC设计、FPGA工程师面试题

    转载自:常见数字IC设计.FPGA工程师面试题 我怕弄丢了,找不到了,所以转载过来吧,回答的还挺具有参考意义. 借个位置,顺便把另外一篇好文的地址附上:FPGA中的亚稳态 1:什么是同步逻辑和异步逻辑 ...

  7. verilog hdl数字集成电路设计原理与应用_数字IC设计经典书籍推荐

    数字IC设计流程很复杂,从前端到后端,也有很多职位.在这里整理了个数字IC各个环节的经典必读书籍.市面上的书籍种类纷繁复杂,这里每种只推荐两本左右,如果需要,建议知识类的书籍还是购买正版,尊重作者,也 ...

  8. 中级软件测试笔试题100精讲_数字IC设计职位经典笔试面试100题(71~80)

    71.什么叫做OTP片(OTP(一次性可编程)).掩膜片,两者的区别何在? OTP与掩膜 OTP是一次性写入的单片机.过去认为一个单片机产品的成熟是以投产掩膜型单片机为标志的.由于掩膜需要一定的生产周 ...

  9. 数字IC设计经典书籍

    1 <Verilog HDL高级数字设计> 中文版和原著.这本书本人以为是讲Verilog方面的最好的一本书,看完此书后,相信大家的code水平会有很大提高.书中例子及其丰富,涵盖了RIS ...

  10. 数字IC设计流程总结

    IC设计是一个很复杂漫长的过程,笔者以下图进行总结,其中后端总结的很模糊,后续了解学习后再进行补充.笔者会根据自己的理解,一步步的分享自己理解的设计流程.其中难免有问题错误,望同学老师指出,感谢!哔哩 ...

最新文章

  1. winform中捕获程序未处理的所有异常
  2. str字符串 encoding( ) 方法
  3. Zookeeper概述、特点、数据模型
  4. 内卷化的信贷行业,如何做好信贷风控规则的挖掘
  5. 批处理不同目录下的bat调用
  6. 第二百五十八天 how can I 坚持
  7. [Android] 环境优化配置Android Studio发展NDK
  8. 什么叫百度下拉框?除了百度下拉还有哪些下拉词框可以优化?
  9. 洛谷——P1428 小鱼比可爱
  10. 生成微信二维码,微信扫码登录网站
  11. 【转】十大抢手的网站压力测试工具
  12. logo下方显示技术支持信息_用“技术支持信息”显示的OEM信息备份修改方法
  13. tmall API接口关键字获取商品数据
  14. LaSO: Label-Set Operations networks for multi-label few-shot learning 论文笔记
  15. 一位大龄程序员所经历的面试的历炼和思考
  16. Linux查询网络配置相关命令
  17. 企业微信机器人发送消息
  18. PowerPC的字节序问题
  19. 搜索引擎登录站点-提交网站(大全)
  20. 使用python用递归的方法实现冰山立方体BUC算法(以水果分类数据为例)

热门文章

  1. 终端数据防泄漏解决方案
  2. 一作发表6篇论文!他博三才出科研成果,厚积薄发终获成功
  3. 河北省计算机对口试题,河北省对口计算机试题及答案.doc
  4. 洛谷P4207 [NOI2005]月下柠檬树(计算几何+自适应Simpson法)
  5. 【2D detection】Deformable DETR论文阅读记录
  6. 奈奎斯特与香农定理_奈奎斯特定理和香农定理有什么区别?
  7. python词云图_Python制作词云图代码实例
  8. linux 网络问题 ipv4 forwarding
  9. 十分钟超简单完成百度地图3.0离线功能
  10. 《安全评估报告》7条回答范例