以例子来说明 我要用testbench lpf_direct_tb.v 来测试文件lpf_direct.v

命令行方式和图形界面两种方式都可以

1 映射库 .在编译源文件之前,创建一个库存放编译的结果.

vlib lpf_direct_tb

把库映射到工作目录

vmap work lpf_direct_tb

2编译设计文件 vlog lpf_direct.v lpf_direct_tb.v 如果是vhdl使用vcom

3把编译好的工程加入模拟器  vsim lpf_direct_tb

4  仿真

把要仿真的信号添加到视窗 add wave -position insertpoint  \
 
sim:/lpf_direct_tb/clk \
sim:/lpf_direct_tb/reset \
sim:/lpf_direct_tb/x_in \
sim:/lpf_direct_tb/y_out

运行 run.可以设置仿真时间 run 100us .

5 调试
可用的命令有describe, drivers,examine,force,log,show
When you get to the end of your rope, tie a knot and hang on.
--Franklin D. Roosevelt

转载于:https://www.cnblogs.com/hiramlee0534/p/3447371.html

单独使用modelsim进行仿真相关推荐

  1. 搭建Modelsim SE仿真环境-使用do文件仿真

    本章我们介绍仿真环境搭建是基于Modelsim SE的.Modelsim有很多版本,比如说Modelsim-Altera,但是笔者还是建议大家使用Modelsim-SE,Modelsim-Altera ...

  2. ISE和Modelsim联合仿真

    相信很多人会遇到过这个问题,不知如何让ISE调用Modelsim进行仿真.我也迷糊了不少时间,查查找找,终于弄明白了,所以有了本文,和大家分享一下.我尽量讲得详细点儿,多多上图. 我的环境:Windo ...

  3. modelsim中仿真波形设置的保存

    为了方便以后察看仿真结果,可以将仿真波形保存在.Wlf文件中: 一.保存过程: 1.完成仿真波形 2.切换到sim视窗 3.点击保存图标,可以直接保存为.wlf文件 二.打开过程 1.打开models ...

  4. Quartus II调用modelsim无缝仿真

    本篇文章为转载,写的不错,最近在学modelsim仿真,网上的教程很乱,把自己认为不错的整理贴出来,后面有机会会写个详细点的. Quartus 中调用modelsim的流程 1. 设定仿真工具  as ...

  5. zedboard:使用ISE和modelsim搭建仿真环境

    详细步骤: 产生ISE仿真库文件 开始->所有程序->xilinx design tools->simulation library compilation wizard.路径可能不 ...

  6. Modelsim se仿真Xilinx IPcore

    Modelsim se仿真Xilinx IPcore 方法:先写好do文件常规框架,根据modelsim报错再添加ise IP核库仿真文件.注:记得添加并仿真glbl.v全局控制仿真文件到sim/is ...

  7. Vivado关联Modelsim进行仿真

    Vivado自带的仿真工具Vivado Simulator使用体验不佳,使用当前最流行的Verilog仿真工具Modelsim更加高效便捷.Vivado支持多种第三方仿真工具,包括Modelsim,Q ...

  8. FPGA学习之路—Vivado与Modelsim联合仿真

    Vivado与Modelsim联合仿真 笔者在学习FPGA过程中遇到了如何使用Vivado和Modelsim进行联合仿真的问题,特此记录. 首先确定版本 笔者Vivado用的是2018.3版本,先是随 ...

  9. ise和modelsim联合仿真的一些准备

    首先要在modelsim中编译xilinx的三个库,分别是unisims库,simprims库,和corelib库,其中unisims库全称为(library of united component ...

最新文章

  1. 为啥现在4位单片机依然没有被淘汰?4位单片机还有用的吗?
  2. Redis 使用 10 个小技巧,请收下!
  3. flask中jinjia2模板引擎详解3
  4. input file的默认value清空与赋值方法
  5. 表单-图片浏览上传-单选框(二)
  6. testlink(以及服务器)问题定位思路
  7. impdp oracle 只导入表结构_oracle数据库怎么导入dmp,只导入数据不导入表结构?...
  8. oracle内置函数 wmsys.wm_concat使用
  9. WPF 创建右键菜单
  10. MapXtreme 2005 学习心得 将wor格式转wms格式(十)
  11. python对平面设计有用吗_平面设计有前途吗?
  12. c语言编程软件平板_ipad可以编程c语言吗
  13. java 读文件时,readline的问题
  14. acm-基础数论学习笔记(下)
  15. 倾斜摄影超大场景的三维模型的顶层合并的优势浅析
  16. [转] 汉语骂人最高境界!(爆笑)
  17. 查询单号物流信息是否揽收教你一键操作
  18. Cannot enable Hyper-V service
  19. 网易雷火 2019 春季人工智能工程师实习生笔试题
  20. python微信抢红包神器_快过年啦,还怕手速慢,我用Python自动抢红包!

热门文章

  1. mysql数据库存储过程及调用方法
  2. 第七章:XAML vs. code(3)
  3. 正整数分解为几个连续自然数之和
  4. React Native通信机制详解
  5. Eclipse新建web项目正常启动tomcat不报错,但不能访问项目的解决方法
  6. “高考”机器人横空出世 2017年居然要考“大学”
  7. DBA用于查询当前数据库表格记录条数的脚本
  8. G代码 机器人的CNC实现
  9. loading initial ramdisk 卡住_驿站晨读 | 一城市多家快递“卡住了”!有快递网点直接建议:换别家吧......
  10. C++11系列学习之三----array/valarray