• XADC介绍
    • 外部模拟输入
    • XADC例化
    • 时钟与复位
      • 连续采样时序
        • 捕获阶段 Acquisition Phase
        • 转换阶段 Conversion Phase
      • 触发采样时序
    • XADC工作模式
      • 极性
        • 单极性模式
        • 双极性模式
      • 采集模式
        • Single Channel Mode
        • Automatic Channel Sequencer
        • Sequencer Mode
        • External Multiplexer Mode

XADC介绍

首先看XADC的框图

XADC内部包含2个12位1MSPS的ADC

所有XADC相关的引脚都在bank0上,推荐种配置方式:

  • Vccaux(1.8V)和外部1.25V作为参考源

  • 使用片上电压作为参考

外部模拟输入

所有的模拟输入均为差分输入,输入引脚位于bank15和35
模拟输入的IO引脚命名有ADxP 或 ADxN前缀

XADC例化

在不例化XADC的情况下,只有通过Jtag的方式访问XADC,用户逻辑想访问XADC则必须在设计中例化XADC IP或原语


从框图上可以分为五类端口

  • DRP端口

  • 控制与时钟端口

  • 外部输入端口

  • 报警端口


  • 状态端口

时钟与复位

ADC所有的时钟都是基于DRP Clock,其中ADCCLK是通过对DRP时钟进行分频实现的。
注意文档中关于busy信号与DCLK信号之间关系的描述

连续采样时序

捕获阶段 Acquisition Phase

ADC在捕获阶段对电容进行充电,充电所需的时间与ADC通道的输入源端阻抗相关。ADC有独立的track-and-holder 放大器,所以在进行转换的时候,可以进行下一次的捕获操作。
ADC的充电时间可以设定到10个ADCCLK,在源端阻抗大于10KΩ的时候,非常有用。

转换阶段 Conversion Phase

在捕获周期后的4-10个时钟周期,开始执行转换操作。
转换需要22个ADCCLK

触发采样时序

触发采样模式中DCLK必须一致工作,CONVST的上升沿触发XADC进行采样

XADC工作模式

极性

单极性模式

已输入的采样电压范围从0~1V为例进行说明

此处需要注意的是,在使用单极型模式的时候,XADC的有效电压输入范围被限制在1V以内

双极性模式


双极性模式下, XADC的差分输入电压绝对值在0.5V以内,对地的工模电压在0.25V到0.75V之间。

采集模式

Single Channel Mode

单通道工作模式

Automatic Channel Sequencer

配置用户希望使用的通道及对应通道的设置,XADC自动顺序分时采集每通道的数据
每个通道可以独立配置进行多个样片进行算术平均
通道之前的切换延迟最小为4个ADCCLK

Sequencer Mode

External Multiplexer Mode


采用外部复用的方式,FPGA XADC实际只使用一对FPGA的模拟输入,通过外部的模拟切换开关实现通道的切换

FPGA Xilinx 7系列XADC学习相关推荐

  1. FPGA Xilinx 7系列高速收发器GTX通信

    Xilinx 7系列高速收发器GTX 说明: FPGA: TX端_zynq(7z035) RX端_zynq(7z100). 两个FPGA通过SFP(光纤)接口相连进行GTX的通信. 环境:Vivado ...

  2. axi dma 寄存器配置_FPGA Xilinx Zynq 系列(三十二)AXI 接口

    大侠好,欢迎来到FPGA技术江湖,江湖偌大,相见即是缘分.大侠可以关注FPGA技术江湖,在"闯荡江湖"."行侠仗义"栏里获取其他感兴趣的资源,或者一起煮酒言欢. ...

  3. xilinx 7系列FPGA之XADC的学习笔记

    Xilinx的7系列FPGA和Zynq器件在片上集成了模数转换器和相关的片上传感器(内置温度传感器和功耗传感器),可在系统设计中免去外置的ADC器件,有力地提高了系统的集成度,在7系列FPGA里,除了 ...

  4. Xilinx 7系列FPGA 高速收发器GTX/GTH的一些基本概念

    本来写了一篇关于高速收发器的初步调试方案的介绍,给出一些遇到问题时初步的调试建议.但是发现其中涉及到很多概念.逐一解释会导致文章过于冗长.所以单独写一篇基本概念的介绍,基于Xilinx 7系列的GTX ...

  5. Xilinx zynq-7000系列FPGA移植Linux操作系统详细教程

    Xilinx zynq-7000系列FPGA移植Linux操作系统详细教程 一:前言 最近手上压了一块米联客的Miz7035,一块xilinx zynq-7000系列的开发板,想着正好学习一下linu ...

  6. FPGA 之 SOPC 系列(九)SOPC 补充:altera与xilinx对比

    FPGA 之 SOPC 系列(九)SOPC 补充:altera与xilinx对比 今天给大侠带来今天带来FPGA 之 SOPC 系列第九篇,同时也是最后一篇,SOPC 补充:altera与xilinx ...

  7. Xilinx 7系列FPGA概览

    Xilinx 7系列FPGA概览 文章目录 Xilinx 7系列FPGA概览 1.Xilinx的四个工艺级别 2.Virtex.Kintex.Artix和Spartan 3.7系列特点 4.7系列命名 ...

  8. 基于Xilinx Kintex-7系列FPGA高端设计的TLK7-EVM评估板简介

    TLK7-EVM评估板简介 创龙科技TLK7-EVM是一款基于Xilinx Kintex-7系列FPGA设计的高端评估板,由核心板和评估底板组成.核心板经过专业的PCB Layout和高低温测试验证, ...

  9. FPGA block RAM和distributed RAM区别(以及xilinx 7系列CLB资源)

    原地地址:FPGA block RAM和distributed RAM区别 区别之1 block ram 的输出需要时钟,distributed ram在给出地址后既可输出数据. 区别之2 distr ...

最新文章

  1. 我的Java开发学习之旅------JAVA 笔记ClassLoader.getResourceAsStream() 与 Class.getResourceAsStream()的区别...
  2. Android运行时异常“Binary XML file line # : Error inflat
  3. mybatis中like模糊查询的几种写法及注意点
  4. java 并发修改_理解和解决Java并发修改异常ConcurrentModificationException
  5. unity循环滚动列表_【unity游戏开发】LoopScrollRect组件
  6. OutLook2016修改注册表迁移.ost文件数据
  7. open3d连续读取pcd文件及实现点云视角转换
  8. 百度编辑器UEditor修改成支持物理路径
  9. 基于matlab的光伏电池通用数学模型,基于MATLAB的光伏电池通用数学模型.doc
  10. Linux操作系统中rsync工具常见用法
  11. redis-bitmap 命令使用的一些帖子
  12. java64字节指令包,JVM 字节码指令解析
  13. 有备而来! 解密DEVELOP德凡为何进入中国市场?
  14. Element-ui组ICON图标
  15. 日期时间存入数据库会差一天?
  16. Dalvik虚拟机垃圾收集(GC)过程分析
  17. CPU使用率终于正常了——记一次订餐统事故处理
  18. 英语名字12个月的来历
  19. vue watch 监听不到变化_vue watch 监听路由变化
  20. Piexl 解锁方法

热门文章

  1. 晶圆代工是什么?图解晶圆代工流程!
  2. 低代码指南100解决方案:64销售人员如何管理好客户?选对CRM客户关系管理软件很重要
  3. mysql order 走索引么_mysq order by 不走索引问题
  4. 5. 机器人动力学---串联机构牛顿欧拉方程
  5. JAVA计算机毕业设计融呗智慧金融微资讯移动平台小程序端(附源码、数据库)
  6. Jetpack学习与实践
  7. Keil.STM32F4xx_芯片包安装
  8. matlab expand用法,matlab中repmat函数, ndims 函数 与 expand 函数 reshape函数,shiftdim函数和permute函数的用法...
  9. 2021年T电梯修理考试技巧及T电梯修理作业考试题库
  10. 如何用数学课件制作工具推导平行四边形的面积公式