Quartus:

%生成输入信号无噪的MIF格式文件
fid1=fopen('f:/dn.mif','wt');
fprintf(fid1,'depth=%d;\n',depth);
fprintf(fid1,'width=%d;\n',width);
fprintf(fid1,'address_radix=uns;\n');
fprintf(fid1,'data_radix=dec;\n');
fprintf(fid1,'Content Begin\n');
for x=1:depth
    fprintf(fid1,'%d:%d;\n',x-1,round(dn(x)));
end
fprintf(fid1,'end;');
fclose(fid1);

ISE:

fid = fopen('h:/clk_coe.txt','wt'); 
fprintf(fid,'MEMORY_INITIALIZATION_RADIX=2;\n'); //2禁制
fprintf(fid,'MEMORY_INITIALIZATION_VECTOR=\n');
for n=1:125
   fprintf(fid, '%d,\n' , clk_data(n));               //把最后一行的“,”改成";"
end
 fclose(fid);

转载于:https://www.cnblogs.com/permanence/archive/2013/02/26/2933687.html

Quartus和ISErom文件格式相关推荐

  1. matlab mif文件 负数,Quartus II mif 文件格式及rom如何输出负数

    (1)ADDRESS_RADIX=DEC ;   %设置地址基值(实际就是地址用什么进制的数表示)可以设为BIN(二进制),OCT(八进制),DEC(十进制),HEX(十六进制),UNS(无符号数) ...

  2. Quartus ii与Modelsim-altera 6.5b联调前仿真

    本实例使用的是Quartus ii9.1版本,Modelsim-altera版本是  Quartus ii9.1版本  对应的6.5b版本. 本实例使用的是格雷码计数器(Gray) ========= ...

  3. 【原创】Quartus II 简单设计流程

    Quartus II设计工具支持多种设计输入模型,现通过使用原理图输入设计一个1位半加器,介绍基于Quartus II软件进行原理图设计的基本流程. 1.1建立Quartus II 工程       ...

  4. Quartus ii 软件的使用

    一.开发工程 1.新建工程 选择一个路径作为工程存放位置,然后在工程文件夹创建4个子文件夹,分别命名为: doc.par.rtl和sim. doc文件夹用于存放项目相关的文档, par文件夹用于存放Q ...

  5. 《Java虚拟机规范》阅读(三):Class文件格式

    每一个Class都对应着唯一的一个类或借口的定义信息.这里,我们称为"Class文件格式"只是通俗的将任意一个符合有效的类或借口的格式这么称呼,但是它并不一定是以磁盘文件的形式存在 ...

  6. linux 压缩文件夹格式,Linux下常见文件格式的压缩、解压小结

    Linux下常见文件格式的压缩.解压小结 .tar 解包: tar xvf FileName.tar 打包:tar cvf FileName.tar DirName (注:tar是打包,不是压缩!) ...

  7. 二、OCR训练时,将txt文件和图片数据转为lmdb文件格式

    文章目录 前言 一.背景? 二.直接上内容 1.代码 2.文件说明 前言 随着人工智能的不断发展,机器学习这门技术也越来越重要,本文就介绍OCR训练前ldmb文件制作的基础内容. 提示:以下是本篇文章 ...

  8. Ubuntu 14.04 64bit上解析wireshark抓包pcap文件格式和源码实现

    pcap文件格式是常用的数据报存储格式,包括wireshark在内的主流抓包软件都可以生成这种格式的数据包 下面对这种格式的文件简单分析一下:  pcap文件的格式为:   文件头    24字节   ...

  9. 3D中的OBJ文件格式详解(转载)

    OBJ文件是Alias|Wavefront公司为它的一套基于工作站的3D建模和动画软件"Advanced Visualizer"开发的一种标准3D模型文件格式,很适合用于3D软件模 ...

最新文章

  1. RabbitMQ 学习
  2. 7年,从“游戏少年”到大厂技术总监的逆袭之路
  3. 【DataBase】【SQL语言】【第三天】
  4. 75. CPU 100%运行实战案例分析
  5. postgresql dead_tuple和live_tuple
  6. Connect 2016过后,你的信仰势必需要更大的容器
  7. 白话解说:阻塞和非阻塞,同步和异步
  8. openfeign调用服务是否需要网关_阿里新一代微服务解决方案:Spring Cloud Alibaba
  9. 《剑指Offer》 跳台阶
  10. 计算机专业说课,计算机专业课程说课.ppt
  11. 从零开始编写自己的C#框架(7)——需求分析
  12. 用户旅程图进阶:实操与模板
  13. 网络流行语“不作不死”英文入选美国词典
  14. 面试常问的 C/C++ 问题,你能答上来几个?
  15. AcWing 105. 七夕祭(糖果传递小变种)
  16. Android SystemUI相关定制(一)
  17. 10.COM进程外组件和列集、散集
  18. .spring 知识点总结
  19. shell 字符串比较
  20. 【Android 组件化】为什么能极大提高工程编译速度?

热门文章

  1. 定义一个包含增强方法的javaBean(最终增强)
  2. RPi 2B python opencv camera demo example
  3. Java中的数据类型及相互转换方法
  4. jqGrid使用经验分享(一)——jqGrid简单使用、json格式和jsonReader介绍
  5. ASP.NET MVC学习之控制器篇
  6. Java学习进度(2013.03.13)—Struts2学习一
  7. 测试你的电脑是否支持Hyper-V
  8. git bash 风格调整
  9. org.apache.hadoop.hive.metastore.api.SerDeInfo; local class incompatible
  10. kafka关闭终端继续执行命令(转载)