D触发器实现时钟信号分频

  • 一. 元器件介绍
  • 二. 原理分析
  • 三. 仿真实验

一. 元器件介绍

这里用到的元器件有:

  1. DIgital power(VCC)数字电源
  2. DIGITAL-CLOCK 数字时钟
  3. 逻辑分析仪(XLA)
  4. ground 数字地
  5. SPDT 单刀双掷开关
  6. 74HC74D_4V D触发器芯片

二. 原理分析

先来说说D触发器的原理:
74HC74D_4V D触发器芯片有六个:D输入、Preset输入、Clear输入、 Q \mathsf{Q} Q输出、 Q ‾ \overline{\mathsf{Q}} Q​输出、Clock时钟输入

对应输入输出电平值:

D C L K ‾ \overline{\mathsf{CLK}} CLK Q \mathsf{Q} Q Q ‾ \overline{\mathsf{Q}} Q​
0 0 1
1 1 0

↓ = clock transition HIGH to LOW

依据表格中D输入输出值
分频器的原理:

  1. 根据时钟输入信号的上升沿(或下降沿)触发一次的时间为一周期(此处一周期为输入时钟脉冲的一周期),每变化一周期实现一次信号翻转(Toggle)
  2. D触发器不同于JK触发器可以实现芯片内信号翻转,则信号翻转需要通过 Q ‾ \overline{\mathsf{Q}} Q​输出来实现, Q ‾ \overline{\mathsf{Q}} Q​输出信号为D输入信号的非信号(相反信号),则将 Q ‾ \overline{\mathsf{Q}} Q​输出信号作为下一时刻的D输入信号实现翻转信号
  3. 要想实现实时翻转,对D输入端初始信号没有要求
    且要求不会受到PresetClear的影响,需要将PresetClear同时接高电平(实验中PresetClear为低电平有效)
  4. 实际上完整的运行过程为D初始无信号输入,时钟下降沿触发使 Q ‾ \overline{\mathsf{Q}} Q​输出到D输入上使 Q \mathsf{Q} Q输出信号变为初始信号的相反值 Q ‾ \overline{\mathsf{Q}} Q​,实现一次翻转,每经过时钟一周期翻转一次,翻转两次形成输出信号的一个周期,则输出信号的一周期在时间上等价于输入时钟信号的两周期从而实现D触发器分频

调整到可以全局概览(时钟数调整为4)

5棕线为输入100HZ,占空比为50%的时钟脉冲
4蓝线为二分频
6浅红线为四分频
8浅蓝线为八分频
10浅绿线为十六分频

图中74HC74D_4V D触发器芯片为下降沿触发
可实际上查阅相关芯片信息,描述为
the 74hc74 are dual posiN/Ave edge triggered d-type flip-flop.
表示该芯片实际上为上升沿触发,这就是为什么看到逻辑分析仪上的信号跳变为上升沿跳变

查阅相关芯片信息源
74HC74D源文档下载


实际74HC74D逻辑符号为:

可以看到时钟输入为上升沿触发

据此

此芯片的实际真值表:

D CLK Q \mathsf{Q} Q Q ‾ \overline{\mathsf{Q}} Q​
0 0 1
1 1 0

↑ = clock transition LOW to HIGH

将二分频输出信号作为下一个JK触发器时钟输入信号实现对二分频的分频即四分频以此类推…


三. 仿真实验

需要快速作图的小伙伴直接copy下方图片中的接线即可
以下图实现十六分频为例


Preset输入、Clear输入同时接入高电平
D输入接 Q ‾ \overline{\mathsf{Q}} Q​输出实现沿时钟上升沿翻转
如下图实现二分频

逻辑分析仪显示如下图

注意设置合适的数字时钟频率以及逻辑分析仪接受频率以显示合适的图像
(该仿真实验中选择数字时钟频率为100HZ,接受时钟频率为200HZ,显示时钟格数为2-4最适)

将 Q \mathsf{Q} Q输出接入下一个D触发器时钟端,其他引脚接线方式与第一个相同
实现如下图四分频

逻辑分析仪显示如下图

以此类推实现多分频


希望能够帮到迷途之中的你,知识有限,如有学术错误请及时指正,感谢大家的阅读

(^^)/▽ ▽\(^^)

Multisim实现D触发器时钟信号分频相关推荐

  1. Multisim实现JK触发器时钟信号分频

    JK触发器实现时钟信号分频 一. 元器件介绍 二. 原理分析 三. 仿真实验 一. 元器件介绍 这里用到的元器件有: DIgital power(VCC)数字电源 DIGITAL-CLOCK 数字时钟 ...

  2. multisim常用d触发器_请问这个符号的d触发器在multisim中的芯片代号是什么

    8管脚的JK触发器或者D触发器芯片啊 你这种情况只能到soiseek去搜索了,只有这个网站有搜索参数的功能,比如说可以搜"8pin jk 触发器" d触发器芯片74ls74外加电源 ...

  3. 如何实现时钟信号分频?

    在进行数字电路实验时,经常需要对时钟信号进行分频,以实现输出不同频率的时钟信号. 以下题为例:要求将50MHz的时钟信号进行分频,产生1MHz的时钟信号.其Verilog描述如下: 首先,精确理解一下 ...

  4. multisim仿真D触发器设计的模六计数器并在数码管显示0-5

    D触发器设计的模6计数器 设计思路从000计数到101,并用卡诺图进行化简,没有输出为摩尔型 用quartus仿真看波形 BCD译码 选择74LS48芯片,将D触发器输出Q2Q1Q0对应接到输入管脚C ...

  5. 数字分频器设计(偶数分频、奇数分频、小数分频、半整数分频、状态机分频|verilog代码|Testbench|仿真结果)

    数字分频器设计 一.前言 二.偶数分频 2.1 触发器级联法 2.2 计数器法 2.3 verilog代码 2.4 Testbench 2.5 仿真结果 三.奇数分频 3.1 占空比非50%奇数分频 ...

  6. 电路设计——四个数码管同时独立显示

    实验目的 通过实验观察,发现 Basys3 的四个七段数码管中的各个发光二极管码段的性能对实验方案的约束. 利用实验一中的经验,判断刷新周期(四个数码管循环点亮一次的时间叫做刷新周期)取值下限. 学会 ...

  7. 菜鸟做设计必看!有关如何做设计的整体思路,以及能否综合的笔记

    对Verilog 初学者比较有用的整理(转自它处) 作者: Ian11122840 时间: 2010-9-27 09:04 标题: 菜鸟做设计必看!有关如何做设计的整体思路,以及能否综合的笔记 所谓综 ...

  8. 计算机指令执行与时序逻辑,时序逻辑系统

    时序逻辑电路其任一时刻的输出不仅取决于该时刻的输入,而且还与过去各时刻的输入有关.常见的时序逻辑电路有触发器.计数器.寄存器等.时序逻辑电路在逻辑功能上的特点是任意时刻的输出不仅取决于当时的输入信号, ...

  9. 关于verilog的一些基础知识整理

    *作者: Ian11122840 时间: 2010-9-27 09:04 * *标题: 菜鸟做设计必看!有关如何做设计的整体思路,以及能否综合的笔记 * *所谓综合,就是把描述语言转化成能硬件实现的电 ...

最新文章

  1. Remoting技术简介
  2. metric learning
  3. hibernate缓存机制
  4. Boost:reference wrapper参考包装的测试程序
  5. python如何定义类_python类定义的讲解
  6. springboot请求处理
  7. 【PAT乙】1064 朋友数 (20分) set用法
  8. 在CLR中自动本地化正在运行的.NET窗口
  9. 用session监听实现在线统计
  10. iOS 截屏 长图 拼图
  11. 机器学习Machine Learning学习笔记——回归Regression
  12. php五行万年历,PHP制作万年历
  13. php网站系统说明,国外CMS系统介绍(总结)
  14. 腾讯云服务器的功能与优势体现在哪里?为新手选择服务器提供参考
  15. 压敏电阻 matlab,输入整流滤波器及钳位保护电路的设计
  16. IEEE Fellow、AAAS Fellow 同日公布,清华唐杰、京东郑宇等数十位华人入选
  17. 如何点亮或者关闭EMC VMAX的磁盘指示灯
  18. 表的创建(create),修改(alter)和删除(drop)
  19. 人工智能时代特征初步显现,主要体现在哪几个方面?
  20. 在datadog中对某个主机上的进程进行监控

热门文章

  1. 看过的电影、电视剧里的经典台词,持续更新,欢迎把自己喜欢的经典台词留言
  2. 因为你已经是个好孩子
  3. SAP PLM模块常用表及表关系
  4. Win10磁盘上出现黄色感叹号和小锁的解决办法
  5. 计算机组成原理——辅助存储器
  6. 如何快速计算出星期几
  7. uniapp 引入vant 2 报错 require is not defined
  8. 大数据7大最奇特的应用
  9. c++ 错误号errno
  10. 23华北水利水电大学择校分析