一道verilog笔试题

博主好久没写博客,最近看到一个校招笔试题,就随手写了。下面是题目

verilog code

`timescale 1ns / 1ps
//
// Company:
// Engineer: zhangsy
//
// Create Date: 2019/11/22 12:58:44
// Design Name:
// Module Name: signal
// Project Name:
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//module signal(input clk,input  rst,input  Signal1,output reg   out1,   output reg   out2,   output reg   out3
);
reg [3:0] count;
reg flag;
always @ (posedge clk )if(rst)begincount <= 4'd0;endelse if(count == 4'd15) count <= 4'd0;else if(flag)count <= count + 1'b1;//---------------------------------
always @ (posedge clk)if(rst)flag <= 1'b0;else if(Signal1) flag <= 1'b1;else if(count == 4'd15 )flag <= 1'b0;//-----------------out1------------------------
always @ (posedge clk)if(rst)beginout1 <= 1'b0; endelse if(flag)out1 <= 1'b1;else out1 <= 1'b0;
//-----------------out2 and out3------------------------
always @ (posedge clk)if(rst)beginout2 <= 1'b0; out3 <= 1'b0;endelse if((flag ) && (count == 4'd0) || (count == 4'd2) || (count == 4'd5) || (count == 4'd9))beginout2 <= 1'b1; out3 <= 1'b0; endelse if(flag)beginout2 <= 1'b0; out3 <= 1'b1; endelse beginout2 <= 1'b0; out3 <= 1'b0; end
endmodule

testbench

// Code your testbench here
// or browse Examples
module test();reg clk;reg rst;reg Signal1;wire out1;wire out2;wire out3;initialbegin/// $dumpfile("d.vcd");///$dumpvars(1);clk =0;rst =1;#100rst =0;Signal1 = 0;#10Signal1 =1;#10Signal1 = 0;endalways #10 clk = ~clk;signal signal1 (.clk,.rst,.Signal1,.out1,   .out2,   .out3
);
endmodule

仿真结果

verilog 笔试题相关推荐

  1. IC/FPGA校招笔试题分析(二)任意切换的时钟分频电路

    今天的笔试题是某芸科技的现场笔试题,数字前端的笔试题,要求很简单,就是现场写出代码实现: 任意切换1-8分频,且无论奇分频还是偶分频,占空比均为50%,我至今仍然认为,在那种紧张且时间有限的情况下(本 ...

  2. 电子类公司笔试题精选

    一.模拟电路 1基尔霍夫定理的内容是什么?(仕兰微电子) 基尔霍夫电流定律是一个电荷守恒定律,即在一个电路中流入一个节点的电荷与流出同一个节点的电荷相等. 基尔霍夫电压定律是一个能量守恒定律,即在一个 ...

  3. 数字IC笔试题,大疆校招16题(仅供参考)

    \\\插播一条: 自己在今年整理一套单片机单片机相关论文800余篇 论文制作思维导图 原理图+源代码+开题报告+正文+外文资料 想要的同学私信找我. 数字IC笔试题,大疆校招16题(仅供参考) 1.异 ...

  4. 华为2022数字芯片笔试题

    单选 1.ASIC开发流程中,如下环节的先后顺序是? A. RTL-> Synthesis->P&R B. Synthesis->P&R->RTL C. Syn ...

  5. 华为2022硬件逻辑笔试题

    单选题(每题两分) 1.以下关于过程赋值的描述,不正确的是() A在非阻塞性过程赋值中,使用赋值符号"< =" B赋值操作符是"="的过程赋值是阻塞性过程 ...

  6. 知名企业面试、笔试题

    知名企业面试.笔试题 46家中外知名企业面试题目 微软 智力题 1.烧一根不均匀的绳子,从头烧到尾总共需要1个小时,问如何用烧绳子的方法来确定 半小时的时间呢? 2.10个海盗抢到了100颗宝石,每一 ...

  7. [转载] 硬件工程师经典笔试题集锦---(张飞实战电子)

    [别找了全在这]硬件工程师经典笔试题集锦! 直转链接:https://www.sohu.com/a/312704438_819258 数字电路知识问题,请简要回答之. (1) 什么是 Setup和 H ...

  8. 华为、联想等名企笔试题总汇

    ■华为笔试题 1.请你分别画出OSI的七层网络结构图和TCP/IP的五层结构图.  2.请你详细地解释一下IP协议的定义,在哪个层上面?主要有什么作用?TCP与UDP呢 ?  3.请问交换机和路由器各 ...

  9. C/C++笔试题(12)

    香港电信笔试题     1. Based on your understanding of the following java related technologies: servlets, Jav ...

最新文章

  1. 当我们在讨论奢侈品行业时,人工智能可以做什么?
  2. linux编译openssl报错,alpine编译openssl1.1.1g报错解决
  3. Acwing第 9 场周赛【未完结】
  4. 《RabbitMQ 实战指南》第二章 RabbitMQ 入门
  5. 远程线程需要注意的问题
  6. AES加密例子(python和php版本)
  7. PHP - Smarty
  8. 安徽大学计算机语言学考研真题,2019年安徽大学英语语言文学复试真题回忆
  9. u-boot的补丁文件patch
  10. 量子计算机 因数分解,中国实现绝热量子质因数分解 或建量子计算机
  11. jquery蔚蓝网总结三个页面
  12. 循环日程表递归法c语言,分治与递归——循环赛日程表
  13. 日拱一卒,一路向前…… ——我的 CSDN 创作纪念日
  14. 数学Ⅰ基础复习(六)
  15. 设置JSP页脚版权标识
  16. cpplint中filter参数的每个可选项的含义
  17. 渗透测试的灵魂:信息收集
  18. 【自然语言处理】【检索】GENER:自回归实体检索
  19. 转载_利用虚拟机VMWARE安装并配置Debian Linux系统的总结
  20. 一个简单实用的电子称数据接收/解析类(C#)(附简单使用实例)

热门文章

  1. ubuntu 下安装搜狗
  2. Android 获取手机中微信聊天记录
  3. Leetcode刷题:贪心算法
  4. 小编带你一起揭开DLL木马的神秘面纱(转)
  5. FPGA——时钟分频
  6. python3 pycharm 抓取app 上的数据
  7. android 系统图标_9个非常实用的CSS图标库
  8. APM(应用性能管理)与Dapper原理介绍
  9. iOS端使用DSA加密
  10. 移植U-Boot.1.3.1到S3C244和S3C2410