目录

  • 硬件结构
  • 软件架构
  • 仿真波形
  • 设计文件程序
  • 仿真文件程序

硬件结构


软件架构

仿真波形

设计文件程序

`timescale 1ns/1ns
module hex8_2(Clk,Reset_N,Disp_Data,Sel,DisPlay);input Clk;input Reset_N;input [31:0]Disp_Data;output reg [7:0]Sel;output reg [7:0]DisPlay;reg clk_1k;reg [15:0]div_cnt;always@(posedge Clk or negedge Reset_N)if(!Reset_N)div_cnt <= 0;else if(div_cnt >= 49999)div_cnt <= 0;elsediv_cnt <= div_cnt + 1'd1;// clk_1k采用使能时钟,避免使用门控时钟always@(posedge Clk or negedge Reset_N)if(!Reset_N)clk_1k <= 0;else if(div_cnt == 49999)clk_1k <= 1'b1;elseclk_1k <= 0;reg [2:0]Num;always@(posedge Clk or negedge Reset_N)if(!Reset_N)Num <= 0;else if(clk_1k)Num <= Num + 1'd1;// 3_8译码器always@(posedge Clk)case(Num)3'b000: Sel = 8'b0000_0001;3'b001: Sel = 8'b0000_0010;3'b010: Sel = 8'b0000_0100;3'b011: Sel = 8'b0000_1000;3'b100: Sel = 8'b0001_0000;3'b101: Sel = 8'b0010_0000;3'b110: Sel = 8'b0100_0000;3'b111: Sel = 8'b1000_0000;endcase// 8选1多路器reg [3:0]Disp_tmp;always@(posedge Clk)case(Num)3'b000: Disp_tmp = Disp_Data[3:0];3'b001: Disp_tmp = Disp_Data[7:4];3'b010: Disp_tmp = Disp_Data[11:8];3'b011: Disp_tmp = Disp_Data[15:12];3'b100: Disp_tmp = Disp_Data[19:16];3'b101: Disp_tmp = Disp_Data[23:20];3'b110: Disp_tmp = Disp_Data[27:24];3'b111: Disp_tmp = Disp_Data[31:28];endcasealways@(posedge Clk)case(Disp_tmp)0:  DisPlay = 8'hc0;1:  DisPlay = 8'hf9;2:  DisPlay = 8'ha4;3:  DisPlay = 8'hb0;4:  DisPlay = 8'h99;5:  DisPlay = 8'h92;6:  DisPlay = 8'h82;7:  DisPlay = 8'hf8;8:  DisPlay = 8'h80;9:  DisPlay = 8'h90;10: DisPlay = 8'h88;11: DisPlay = 8'h83;12: DisPlay = 8'hc6;13: DisPlay = 8'ha1;14: DisPlay = 8'h86;15: DisPlay = 8'h8e;endcase
endmodule
`timescale 1ns / 1nsmodule hex8_test(Clk,Reset_N,Sel,DisPlay);input Clk;input Reset_N;output [7:0]Sel;output [7:0]DisPlay;wire [31:0]Disp_Data;hex8_2 hex8_2(Clk,Reset_N,Disp_Data,Sel,DisPlay);assign Disp_Data = 32'h13569bdf;
endmodule

仿真文件程序

`timescale 1ns / 1nsmodule hex8_tb();reg Clk;reg Reset_N;reg [31:0]Disp_Data;wire [7:0]Sel;wire [7:0]DisPlay;hex8_2 hex8_2(Clk,Reset_N,Disp_Data,Sel,DisPlay);initial Clk = 0;always #10 Clk = !Clk;initial beginReset_N <= 0;Disp_Data <= 32'h00000000;#201;Reset_N <= 1;Disp_Data <= 32'h12345678;#10000000;Disp_Data <= 32'h9abcdef0;#10000000;       $stop;end
endmodule

FPGA入门学习笔记(十四)Vivado实现数码管段码显示以及动态扫描相关推荐

  1. 吴恩达《机器学习》学习笔记十四——应用机器学习的建议实现一个机器学习模型的改进

    吴恩达<机器学习>学习笔记十四--应用机器学习的建议实现一个机器学习模型的改进 一.任务介绍 二.代码实现 1.准备数据 2.代价函数 3.梯度计算 4.带有正则化的代价函数和梯度计算 5 ...

  2. Polyworks脚本开发学习笔记(十四)-WORKSPACE信息读取及管理

    Polyworks脚本开发学习笔记(十四)-WORKSPACE信息读取及管理 Polyworks的工作任务存储分为工作区和项目两级,通过WORKSPACE命令获取工作任务信息,实现更好的任务管理. 下 ...

  3. python3.4学习笔记(十八) pycharm 安装使用、注册码、显示行号和字体大小等常用设置...

    python3.4学习笔记(十八) pycharm 安装使用.注册码.显示行号和字体大小等常用设置 Download JetBrains Python IDE :: PyCharm http://ww ...

  4. Opencv3编程入门学习笔记(四)之split通道分离Debug过程中0xC0000005内存访问冲突问题

    这是笔者学习<Opencv3编程入门>的第四篇博客,这篇博客主要是解决在Windows系统下VS 2013中Debug含有split分离通道色彩函数时报出的0xC0000005内存访问冲突 ...

  5. SQL零基础入门学习(十四)

    上篇:SQL零基础入门学习(十三) SQL NULL 值 NULL 值代表遗漏的未知数据. 默认地,表的列可以存放 NULL 值. 如果表中的某个列是可选的,那么我们可以在不向该列添加值的情况下插入新 ...

  6. Python编程入门学习笔记(十)

    python学习笔记(十) <h1 style="text-align:center">泰坦尼克数据处理与分析 </h1>![](http://www.al ...

  7. FPGA入门学习笔记(五)Vivado模块化设计

    目录 仿真结果 设计文件程序 仿真文件程序 仿真结果 设计文件程序 module led_flashself(input Clk,input Reset_n,output reg Led );reg ...

  8. FPGA入门学习笔记(二)Vivado设计38译码器

    目录 仿真波形 设计文件程序 仿真文件程序 仿真波形 设计文件程序 `timescale 1ns/1nsmodule decode38(input a,input b,input c,output r ...

  9. 【神经网络】学习笔记十四——Seq2Seq模型

    本文简要介绍seq2seq,即序列到序列的基本知识,是深度学习和NLP中一个重要的知识. 从三部分来说,seq2seq基本简介,应用场景和原理解析. 一.什么是Seq2Seq 所谓Seq2Seq(Se ...

最新文章

  1. EMOS SPF开启收不到信 及WEB收件箱不显示邮件列表等问题解决处理记录
  2. 《研磨设计模式》chap12 观察者模式observer(3)例子
  3. redis性能9个checklist和实操
  4. C++ 11 新特性: constexpr变量和constexpr函数
  5. 使用Anaconda进行环境和包的管理
  6. 租号平台正在把“未成年”变成“大人”
  7. .net|dotnet应聘人员应该掌握的知识点
  8. ndows外壳公用dll,Windows外壳公用DLL已停止工作
  9. Gibbs Sampling\吉布斯采样(三)
  10. MCSA/MCSE Windows Server 2016认证的学习目录
  11. Apple PUSH Notication Service (APNS) 配置攻略
  12. html自动验证邮件地址格式,JavaScript验证Email邮箱格式的三种方法
  13. 浏览器事件模型捕获、冒泡
  14. k8s查找所有pod_k8s---pod常用操作
  15. 提升html5的性能体验系列之一避免切页白屏
  16. 第二十三章:面向对象(3)
  17. Linux文件属主和属组 概念
  18. 墨尔本大学计算机科学世界排名,墨尔本大学世界排名及专业排名汇总(QS世界大学排名版)...
  19. 解决.NET Framework 高版本不能卸载,低版本又不能安装的问题
  20. 【吃灰板子捡起来】按键输入实验

热门文章

  1. 第五篇Data Feeds(3)使用Pandas加载数据
  2. python choice金融终端_django choice字段模板展示
  3. 电脑搜索不到单独某个WiFi,而其他设备可以搜索到该WiFi,路由器配置问题
  4. 哪个企业邮箱海外收发邮件好用呢?
  5. SecureCRT和WinSCP的使用
  6. 励志成为博文美眉的第一天
  7. Live Home 3D Pro for mac(3D家居设计软件)
  8. mysql安装问题:由于找不到msvcp120.dll
  9. 阿里云IoT2018年度十佳合作伙伴20强入围企业公布
  10. QEMU如何虚拟PCI设备