基于ewb平台的交通灯电路设计.doc

电子技术课程设计题目基于EWB平台的交通灯电路设计指导教师班级电子082姓名学号2008成绩时间第十八周一、课程设计的目的1掌握交通灯控制电路的设计、组装与调试方法。2熟悉数字集成电路的设计和使用方法。二、课程设计的任务1设计一个主要街道和次要街道十字路口的交通灯控制器。主要街道绿灯亮6S,黄灯亮2S;次要街道绿灯亮3S,黄灯亮1S。依次循环。2当主要街道绿灯亮6S时,次要街道的红灯亮;接着主要街道的黄灯亮2S,次要街道红灯依然亮;紧接着次要街道的绿灯亮3S,这时主要街道的红灯亮;然后次要街道的黄灯亮1S,主要街道的红灯依然亮;最后主要街道绿灯亮,次要街道变红灯,依次顺序循环控制的电路。3设计各个信号灯的显示电路。显示出各个灯发光时间的倒计时。四、课程设计的内容(1)熟悉EWB仿真软件的主要功能及特点。(2)根据设计要求列出交通灯控制器的真值表,利用卡诺图简化或公式法简化获得最简的逻辑表达式,根据公式直接设计总体电路。(3)逐一设计完成各单元电路并从EWB所提供的器件库中选择元器件。(4)进行总体连接完成总体设计,然后进行仿真,测量相关数据,按设计要求修改仿真电路直至符合设计要求。五、设计过程电路大致如下脉冲计数器控制电路主干道灯次干道灯1先由电路的功能得到真值表如下主次干道的绿、黄、红灯分别用G1,Y1,R1和G2,Y2,R2表示序号Q3Q2Q1Q0G1Y1R1G2Y2R200000100001100011000012001010000130011100001401001000015010110000160110010001701110100018100000110091001001100101010001100111011001010真值表显示器显示器又由真值表可以得到各个灯的卡诺图如下G1卡诺图Y1卡诺图表达式表达式R1卡诺图G2卡诺图表达式表达式Y2卡诺图R2卡诺图表达式表达式00X000X001X001X011X011X010X010X000X100X100X100X100X100X100X000X100X000X000X100X011X011X011X011X02由以上的出来的表达式改装计数器以及控制电路主要是通过改装一个模十六的计数器(74163)为模十二(因为我们每个循环只需要12S),然后通过其四个输出来控制六个信号灯的变换。给计数器的CP端输入一个频率为1HZ的脉冲信号,计数器输出端会累加输入信号从而达到控制信号等的目的。计数器的改装经过如图一的改装之后,计数器的输出端将会有如表一的状态转移表。图一序号Q3Q2Q1Q000000101012011030111410005100161010710118110091101101110111111表一然后按表达式添加一些元件连接控制电路如下图控制电路3数字显示倒计时设计A主干道先显示绿灯的6S倒计时,然后是黄灯的2S,接着是红灯4S。B次干道在主干道亮绿黄灯的同时显示红灯8S,然后绿灯3S,最后是黄灯1S。数字显示器的设计主要是通过使用同步十进制可逆计数器(74192)来实现减计数。通过控制置数端ERRORERROR来实现信号灯所需要显示的秒数的循环。由于这个芯片的ERRORERROR端需要在低电平时才可工作,否则输出为0000,所以可以通过一个非门连接信号灯端来控制其工作状态。当计数器所对应的信号灯有信号时,计数器的ERRORERROR端才可接收到低电平,否则为高电平。此时只需在74192的DOWN端接入一个频率为1HZ的脉冲信号。也可以连接其信号灯端和一个频率为1HZ的脉冲信号通过一个与门来实现控制计数器的工作状态及计数。通过显示器的显示要求,得到真值表序号QDQCQBQAG1Y1R1101101002001001030100001主干道次干道序号QDQCQBQAG2Y2R210011100200010103100001从而得到表达式表达式A表达式B由表达式可以得到计数器与各灯的连接电路。所得的整个实验电路图如下六、心得体会数字电子技术开放实验的目标是培养学生综合实验能力和实验设计创新能力,加强数字电子技术知识的深广度,与实际接轨,初步具备分析问题、解决问题的能力。在数字电子技术开放实验中从现实应用中提取素材,从创新应用成果中汲取题材,替代过于陈旧落后的实验内容。通过应用范围的拓展领悟到数字电子技术原理在生活中的应用价值,意识到当前做的数字电子技术开放实验,其思想、其原理、其方法、其手段,肯定对今后的其他学科的学习带来启发和帮助,拓宽自己创新的思维空间

ewb交通灯报告和文件_基于ewb平台的交通灯电路设计.doc相关推荐

  1. ewb交通灯报告和文件_基于EWB的交通灯设计

    本文着眼于目前普遍应用在城市道路上的交通灯控制系统,从课程设计的题目要求出发,设计了一个十字路口主次街道的交通灯控制电路. 首先进行交通灯状态变换的分析和交通灯总体框架的设计,接着提出了2种电路设计方 ...

  2. ewb交通灯报告和文件_数字电路基础红绿灯实验报告.docx

    数字电路基础红绿灯实验报告 题目:红绿灯控制器 指导教师:莫琳 设计人员:谭晨曦班级:电信类111班日期:XX年5月25日 目录 一.设计任务书 二.设计框图及整机概述 三.各单元电路的设计方案及原理 ...

  3. java android 五子棋游戏_基于Android平台五子棋游戏最终版.doc

    基于Android平台五子棋游戏最终版 毕业设计(论文)任务书 毕业设计(论文)题目: 基于android平台的五子棋游戏的设计与实现 毕业设计(论文)要求及原始数据(资料): 1.综述国内基于and ...

  4. HTML5游戏_基于DOM平台跳跃小游戏开发_9.按键监听

    HTML5游戏_基于DOM平台跳跃小游戏开发 按键监听 视频讲解 HTML5游戏 效果图 本章知识点: 对象自定义名称属性,可以用变量来命名属性名称 //这段代码把多个属性(品牌, 型号, 排量)赋给 ...

  5. eda交通灯控制器波形输入_基于VHDL的交通灯控制器设计

    应用VHDL语言设计数字系统,大部分设计工作可在计算机上完成,从而缩短系统开发时间,提高工作效率.下面介绍基于VHDL设计交通灯控制器的一种方案,并给出源程序和仿真结果. 1 系统功能与要求 交通灯控 ...

  6. arduino灯光装置_基于Arduino的智能家居灯控系统设计

    &能应用 ------ T X 阵 W S 2 8 1 2 全 彩 灯 带 R X 工 G N D r 0 引言 智能家居的概念虽然已经有了几十年,但进入我国并取 得一定发展还是最近几年的事, ...

  7. logisim 快速加法器设计实验报告_基于Logisim平台的《计算机组成原理》实验教学探究...

    邵雄凯 杨习伟 摘要:在分析现有<计算机组成原理>实验教学现状的基础上,针对软件类专业学生硬件基础知识薄弱.一般地方高校硬件设备不足等实际情况,提出基于Logisim平台的<计算机组 ...

  8. 小米手机nfc能连电脑吗_基于ios平台小米手环5 NFC版体验报告

    2020年6月11日,小米手环5如期问世.作为2代和3代小米手环的用户,对新款小米手环还是十分期待的.从安卓转到ios,全功能NFC的缺失着实给生活带来些许不便.随着ios逐步开放城市公交卡的功能,我 ...

  9. java万年历开题报告_基于java平台的多历法万年历软件#(开题报告+论文+源代码)...

    基于java平台的多历法万年历软件#(开题报告+论文+源代码) 如有需要请联系 QQ:1294122080 摘要 1.论文题目:基于java的多历法万年历软件 2. 专 业:计算机科学与技术 3.指导 ...

最新文章

  1. getchar()和getch()的区别
  2. 聊聊JavaScript和Scala的表达式 Expression
  3. python 连通域_连通域的原理与Python实现
  4. android 代码 截取屏幕,如何以编程方式在Android上截取屏幕截图?
  5. 谷歌浏览器外贸版_外贸 网站移动端优化 浅析
  6. 入门机器学习(十二)--课后作业解析-偏差与方差(Python 实现)
  7. 深入学习js之——原型和原型链
  8. 16. go get
  9. 屏幕镜像显示无法连接服务器,苹果屏幕镜像连接失败原因
  10. ifix与mysql_基于ODBC技术实现iFix组态软件与关系数据库通讯接口
  11. 网游加速器和换ip工具的区别
  12. 如何控制局域网网速_单臂路由|N1盒子(OpenWRT)单线多拨实现网速叠加
  13. js的document对象及操作
  14. 2019年MongoDB中文社区 长沙大会
  15. Pale Moon 15.3 - Firefox“苍月”优化版发布
  16. win7自动关机(win7自动关机)
  17. bios显示计算机故障,笔记本电脑BIOS出现故障怎么办 常见BIOS故障解决方案
  18. 创业公司如何实施敏捷开发
  19. 为什么有的人特别招蚊子?
  20. java鸡兔同笼用循环_Java使用for循环解决经典的鸡兔同笼问题示例

热门文章

  1. ps教程:教你如何制作一种牛仔布料
  2. java Base64加密解密中文乱码处理
  3. 会议室LED大屏如何实现无线连接投屏显示?
  4. vcenter server7.0安装
  5. 红帽linux配置远程连接,CentOS服务器端配置SSH远程连接的教程
  6. 仿花生日记官网源码+前后端分离/独立后台
  7. 寒假第三周网页制作总结
  8. 世界上还是好人多啊!
  9. 数据结构教程(第五版 李春葆 上机实验题3 验证性实验)
  10. python Django Rest_Framework框架 视图集与路由Routers详解(图文并茂版)