module erfenpin(clk    ,rst_n  ,//其他信号,举例doutdout);//参数定义parameter      DATA_W =         8;//输入信号定义input               clk    ;input               rst_n  ;//输出信号定义output              dout   ;//输出信号reg定义reg                 dout   ;//时序逻辑写法always@(posedge clk or negedge rst_n)beginif(rst_n==1'b0)begindout<=0;endelse begindout<=~dout;endend
endmodule

tb

`timescale 1 ns/1 nsmodule testbench_name();//时钟和复位reg clk  ;reg rst_n;//uut的输出信号wire      dout ;//时钟周期,单位为ns,可在此修改时钟周期。parameter CYCLE    = 20;//复位时间,此时表示复位3个时钟周期的时间。parameter RST_TIME = 3 ;erfenpin U1(.clk    (clk     ), .rst_n  (rst_n   ), .dout  (dout   ));//生成本地时钟50Minitial beginclk = 0;forever#(CYCLE/2)clk=~clk;end//产生复位信号initial beginrst_n = 1;#2;rst_n = 0;#(CYCLE*RST_TIME);rst_n = 1;endendmodule



而不能将分频信号作为新的时钟信号

//cnt计数器
always  @(posedge clk or negedge rst_n)beginif(rst_n==1'b0)begincnt<=1'b0;endelse if(cnt==2)begincnt<=1'b0;endelse begincnt<=cnt+1;end
end
//en使能信号
always  @(posedge clk or negedge rst_n)beginif(rst_n==1'b0)beginen<=1'b0;endelse if(cnt==2)beginen<=1'b1;endelse beginen<=1'b0;end
end
//使用en信号
always  @(posedge clk or negedge rst_n)begin//错always  @(posedge en or negedge rst_n)if(rst_n==1'b0)beginendelse if(en==1)beginendelse beginend
end

verilog对信号二分频 时钟分频信号作为时钟使能信号相关推荐

  1. linux 时钟分频,浅析AD9522时钟分频电路原理

    在集成度高度发展的今天,不能靠多个晶振源来解决问题,而且一旦晶振固定那么它的灵活性和可移植性必然受到很大影响,所以一些时钟分频芯片应运而生,今天我们将举一个很有代表性的AD9522时钟分频芯片的典型应 ...

  2. n分频器 verilog_时钟分频系列——分数分频电路的Verilog实现

    IC君的第47篇原创文章 上一篇文章时钟分频系列--偶数分频/奇数分频/分数分频,IC君介绍了各种分频器的设计原理,其中分数分频器较为复杂,这一篇文章IC君再跟大家聊聊分数分频的具体设计实现. 一个分 ...

  3. 8分频verilog线_时钟分频系列——分数分频电路的Verilog实现

    IC君的第47篇原创文章 (欢迎关注公众号 icstudy) 上一篇文章时钟分频系列--偶数分频/奇数分频/分数分频,IC君介绍了各种分频器的设计原理,其中分数分频器较为复杂,这一篇文章IC君再跟大家 ...

  4. verilog的时钟分频与时钟使能

    时钟使能电路是同步设计的基本电路.在很多设计中,虽然内部不同模块的处理速度不同,但由于这些时钟是同源的,可以将它们转化为单一时钟处理.在ASIC中可以通过STA约束让分频始终和源时钟同相,但FPGA由 ...

  5. verilog时钟分频设计

    1.偶分频模块设计 偶分频意思是时钟模块设计最为简单.首先得到分频系数M和计数器值N. M = 时钟输入频率 / 时钟输出频率 N = M / 2 如输入时钟为50M,输出时钟为25M,则M=2,N= ...

  6. 同步电路与跨时钟域电路设计1——单bit信号的跨时钟域传输(同步器)

    同步电路与全局异步电路 同步电路 同步电路的定义 即电路中的所有受时钟控制的单元(如触发器Flip Flop.寄存器Register),全部由一个统一的全局时钟控制. 例子: 两个触发器都受同一个时钟 ...

  7. Verilog HDL的时钟分频(2次方分频)

    verilog里实现时钟分频有很多种方法,比如用计数器计数,或状态机也行,下面我讲下自己常用的以同一个计数器的方式实现的多种2次方的分频方法. 例: input clk; reg [23:0]coun ...

  8. Verilog功能模块——时钟分频

    一. 模块功能与应用场景 模块功能:对输入时钟进行任意倍数分频. 应用场景: 需要对时钟进行分频,而PLL不能满足要求或者使用起来不方便 需要固定倍数关系的时钟 二. 模块框图与使用说明 通过参数DI ...

  9. 数字芯片设计中的时钟分频电路,你了解多少?

    时钟分频电路在数字芯片设计中非常常见,而且也非常重要,正确的符合要求的数字分频电路对功能的正确与否至关重要.现在数字电路设计中的时钟分频主要包括以下几种方法: 1.寄存器分频 寄存器分频就是利用寄存器 ...

最新文章

  1. dede php 调用自定义字段,在dedecms搜索结果列表页调用自定义字段的方法(绝对可用)...
  2. java反射泛型类型,【Java反射】Java 泛型基础
  3. flume java_Flume的安装及简单的使用(一)
  4. 好看的html导航栏作品,精选10款超酷的HTML5/CSS3菜单
  5. 计算机四级软件工程知识点,计算机四级考点
  6. MYSQL视图用户管理
  7. 让我们拭目以待的jinbiguandan
  8. c# 插入数据到 uniqueidentifier_每天5分钟用C#学习数据结构(16)二叉树 Part 2
  9. 统计学(贾俊平《第七版》) 导论部分
  10. 关于腾讯云搭建Socks5多IP代理服务器实现游戏单窗口单IP完美搭建教程附带工具
  11. 导入、配置Vuetify遇到的的几个问题
  12. 第三方支付接口申请和开发
  13. 为什么HashMap默认初始容量为2次幂?不是2次幂会怎样?讲讲 HashMap 扰动函数?
  14. 网络存储服务器接显示器,Unraid下,单核显IGPU实现win10外接显示屏,显卡成功驱动...
  15. div的display和visible的区别
  16. 基于PHP的公共课考勤管理系统设计与实现
  17. ZK Studio 1.0 发布新功能
  18. 七段S型加减速算法--多轴时间同步方案调研
  19. 信息学竞赛学习资料整理
  20. 电子时钟的设计与实现

热门文章

  1. Springboot AOP Aspect 拦截中 获取HttpServletResponse response
  2. abort()has been called问题
  3. FLASH知识及读写擦除规则
  4. Linux下命令行中的复制和粘贴
  5. 观察者(observer)模式(二) —— 实现线程安全的监听器
  6. DOM基本知识及DOM查询
  7. 仿抖音点赞效果实现 ——————自定义View
  8. 什么是深度卷积神经网络,基于深度卷积神经网络
  9. C#宿舍管理系统(C#课程设计含源码)
  10. Firecracker