IC君的第47篇原创文章

上一篇文章时钟分频系列——偶数分频/奇数分频/分数分频,IC君介绍了各种分频器的设计原理,其中分数分频器较为复杂,这一篇文章IC君再跟大家聊聊分数分频的具体设计实现。

一个分数分频器由两部分组成:以ZN和ZN+1为分频系数的多路分频器,还有一个ACC计数器。

1

ZN/ZN+1分频器设计

ZN/ZN+1分频器的设计包含了偶分频器和奇分频器,首先定义ZN/ZN+1分频器的模块名字MDIV。下图是MDIV的引脚信号的名字,及功能定义:

废话不多说,Verilog硬件描述语言实现MDIV的代码如下:

MDIV调用方法如下:

具体的仿真波形如下所示:

2

ACC计数器设计

ACC计数器就是控制做N次ZN分频和M次ZN+1次分频,具体控制过程可以分为以下几种情况:

第1种情况 :先做N次ZN分频,再做M次ZN+1次分频;

第2种情况: 先做M次ZN+1次分频,再做N次ZN分频;

第3种情况 :把N次ZN分频平均插入到M次ZN+1分频中;

第4种情况 :把M次ZN+1次分频平均插入到N次ZN分频中。

组合N次ZN分频和M次ZN+1次分频的情况很多。第1、2种情况前后时钟频率不太均匀,因此相位抖动比较大;

第3、4种情况前后时钟频率均匀性稍好,因此相位抖动会减小。

下面以8.7分频为例子设计ACC计数器模块名ACCT,下图为模块ACCT的引脚:

8.7分频的原理是用3次8分频和7次9分频的对应的时钟总时间来等效原时钟87个周期的总时间。

下图选用前面所述的第3种情况,把3次8分频平均地插入到7次9分频中,这个过程也叫混频。

采用第3种情况设计ACCT的Verilog代码代码如下所示:

代码的92-102行就是第3种情况混频,修改98行的数字可以得到不同的混频。

3

8.7分频器设计

完成了模块MDIV 和ACCT之后,就可以用组成一个8.7分频器,这个分频器的模块名是FENDIV,框图如下所示:

Verilog代码如下:

最终生成的clkout波形如下所示:

有兴趣的可以试试用MDIV 和ACCT设计一个10.3分频器?

IC君写一篇原创文章非常不容易,麻烦大家转发、分享、点“看”哦,谢谢!

往期精彩回顾

时钟分频系列——偶数分频/奇数分频/分数分频

中秋快乐!这款芯片有搞头吗?

Verdi使用技巧——搜索查找

n分频器 verilog_时钟分频系列——分数分频电路的Verilog实现相关推荐

  1. 8分频verilog线_时钟分频系列——分数分频电路的Verilog实现

    IC君的第47篇原创文章 (欢迎关注公众号 icstudy) 上一篇文章时钟分频系列--偶数分频/奇数分频/分数分频,IC君介绍了各种分频器的设计原理,其中分数分频器较为复杂,这一篇文章IC君再跟大家 ...

  2. 8分频verilog线_任意分数分频Verilog实现

    任意分数 Verilog 实现 网上常见的多为小数分频, 分数分频也为有规律的分频, 如 N/2 . M-1/N 等. 而像 M/N 型分数分频却很少.现介绍一下本人的分数分频实现方法,如果不当 之处 ...

  3. VHDL 整数 小数 分数 分频

    1 --Description: 带复位功能的加法计数器 2 library IEEE; 3 use IEEE.STD_LOGIC_1164.ALL; 4 use IEEE.STD_LOGIC_ARI ...

  4. 数字分频器设计(偶数分频、奇数分频、小数分频、半整数分频、状态机分频|verilog代码|Testbench|仿真结果)

    数字分频器设计 一.前言 二.偶数分频 2.1 触发器级联法 2.2 计数器法 2.3 verilog代码 2.4 Testbench 2.5 仿真结果 三.奇数分频 3.1 占空比非50%奇数分频 ...

  5. 分频器——(任意奇分频(50%占空比、非50%占空比),任意偶分频,任意小数分频)

    分频器定义: 在数字系统的设计中经常会碰到需要使用多个时钟的情况.时钟信号的产生通常具有两种方法,一种是使用PLL(Phase Locked Loop,锁相环),可生成倍频.分频信号:另一种则是使用硬 ...

  6. 【嵌入式开发】时钟初始化 ( 时钟相关概念 | 嵌入式时钟体系 | Lock Time | 分频参数设置 | CPU 异步模式设置 | APLL MPLL 时钟频率设置 )

    文章目录 一. 时钟相关概念解析 1. 相关概念术语 ( 1 ) 时钟脉冲信号 ( 概念 : 电压幅度 时间间隔 形成脉冲 | 作用 : 时序逻辑基础 间隔固定 根据脉冲数量可计算出时间 ) ( 2 ...

  7. 浅谈晶振作用功能、晶体和晶振、外部时钟和内部时钟、分频倍频预分频后分频、定时器和计数器

    Fosc=frequence oscillate 晶振频率 晶振是用来起振的,只是振荡电路的一种,还有自激振荡器.电容三点式振荡器.LC振荡器.RC振荡器......其作用是通电才产生原始时钟频率,这 ...

  8. 经典分频器——奇数分频(3分频,5分频,任意分频)

    文章目录 一.占空比不是50%(<<50%) 二.占空比接近50%(>50%) 三.占空比等于50% 四.占空比50%的任意整数分频(重点)    奇数分频根据占空比的不同,写法也不 ...

  9. 分频器——秒分频、三分频、五分频、任意分频和偶数分频

    文章目录 1.占空比 2.秒分频计数 3.偶数分频 4.奇数分频 1. 占空比不为50% 2. 占空比为50% 5.任意分频

最新文章

  1. es6-let 和 const
  2. Spring Boot(四)Spring Boot @ConfigurationProperties实例
  3. html如何显示上传进度条,HTML5 Ajax文件上传进度条如何显示
  4. 最大堆的Java实现
  5. python 判断每月最后一天_python获取某年中每个月的第一天和最后一天的两种方法...
  6. caffe 添加自定义层(custom layer)
  7. android双指滑动方向,OpenGL ES2.0实现手指滑动平移、双指缩放Android
  8. SQL语句基本用法格式
  9. MySQL多重嵌套查询
  10. linux ext4 格式化工具,ext4格式化软件 mkfs.ext4 快速格式化
  11. 【字节跳动实习】后端日常实习的三次面试+hr面 面经
  12. Lenovo UEFI引导U盘 System x Install Windows Server 2016 R2
  13. 使用Arduino和TTP223电容式触摸传感器制作触摸检测器
  14. aruba无线ap认证服务器,Aruba无线AP及AC配置.doc
  15. CANopen DS402 驱动电机运动控制模式
  16. 高空作业安全绳穿戴识别检测算法 YOLOv3
  17. Tableau实现跑道图
  18. 归并排序(递归,非递归)
  19. python3中join和格式化的用法 ##16
  20. Apidoc使用说明

热门文章

  1. 李航《统计学习方法》之HMM隐马尔可夫模型
  2. java设计模式 外观,精掌握Java设计模式之外观模式(10)
  3. 【OpenCV 例程200篇】23. 图像添加中文文字
  4. c++ list容器获取第n给元素_Java总结之容器家族--Collection*
  5. pin码计算器网页版_AP微积分Excel简便计算+网页工具指南
  6. win8计算机管理员权限删除文件,win8系统使用管理员权限无法删除部分文件怎么办...
  7. sdr 软件_无线电爱好者系列-1.通过SDR获取周围无线电信号及应用
  8. 顺序查找-判断质数/记录出现次数
  9. 服务器推技术相关网址
  10. python mysql in 参数_python mysql中in参数化说明