- 1 -

利用真值表实现触发器的相互转换

在学习时序逻辑电路时,经常会碰到触发器相互转换的问题。怎样利用给定触发

器实现另外一种触发器的功能?很多教材中提到的方法是比较已有触发器和目标触

发器的特性方程,把目标触发器特性方程的形式变为与已有触发器相类似的形式,

然后通过比较(利用特性方程相等解方程)得出转换电路的逻辑表达式,这种方法

很容易忽视触发器的现态

Q

n

。本文对利用驱动真值表实现触发器相互转换的设计方

法作了初步探讨。

1

、转换原理

要实现触发器的相互转换,其本质是要实现两种触发器之间的逻辑功能的转换,

要实现逻辑功能的转换,只要在已给定触发器的基础上外加适当的门电路,使两个

不同触发器的逻辑功能完全相同即可。由于每种触发器的逻辑功能不同,外加的门

电路以及门电路的输入变量与输出变量也不相同。那么如何确定门电路的输入变量

与输出变量以及它们之间的关系呢?这里完全可以用数字电路中贯穿的设计思想来

解决问题。

任何一种触发器的逻辑功能,是对一定的输入值组合后,完成输出状态由现态

Q

n

次态

Q

n+1

的转换功能。

而由现态

Q

n

次态

Q

n+1

的转换只有如下四种状态:

0→0

0→1

1→0

1→1

。根据两种触发器的特性方程,将它们由现态

Q

n

次态

Q

n+1

的转

换过程、各自对应的输入值组合起来,从而得到两种触发器的驱动真值表。

从甲

乙的设计步骤如下:

(

1

)确定输入变量、输出变量。在甲的输入端添加合适的门电路,以乙的输入

信号、甲的现态

Q

n

(最后结果中不一定会出现

Q

n

)作为该门电路的输入信号,以甲

的输出端作为该门电路的输出信号,输出端的个数与甲的输入端的个数相同;

t’触发器真值表和状态方程_利用真值表实现触发器的相互转换相关推荐

  1. t’触发器真值表和状态方程_清写出触发器按逻辑特性的分类;写出T触发器的状态方程。...

    下列对配电所的说法有误的一项是().A.市区10kV公用配电所的供电半径一般不大于300m,在郊区的供 成功的基础设施服务的提供者都首先是按照商业化的原则经营的,并至少具有几个基本特点,这些基本特 我 ...

  2. t’触发器真值表和状态方程_触发器真值表

    任务 1 触发器电路 一.实验目的 1 .掌握 D 触发器. JK 触发器等基本触发电路的原理与设计 2 .掌握时序电路的分析与设计的方法 3 .学习 VHDL 语言中构造体的不同描述方式的异同 二. ...

  3. t’触发器真值表和状态方程_触发器的逻辑功能通常可用 特征方程 、 状态转换图 、 功能真值表 和 时序波形图 等多种方法进行描述。 ( )_学小易找答案...

    [单选题]电气装置控制 ,只有轿门和所有层门完全关闭时,电梯才能正常运行. [多选题]公共物品的特征? [判断题]触发器按动作特点可分为基本型.异步型 .主从型和边沿型. ( ) [判断题] [论述题 ...

  4. 离散数学范式c语言实验报告,离散数学实验报告-利用真值表法求主析取范式及主合取范式的实现...

    1.实 验 报 告( / 学年 第 一 学期)课程名称离散数学实验名称利用真值表法求主析取范式及主合取范式的实现实验时间年月日指导单位指导教师学生姓名班级学号学院(系)专 业 实 验 报 告实验名称利 ...

  5. 【离散数学】Java语言实现利用真值表法求主析取范式和主合取范式

    C++版本的看这个链接: [离散数学]C++语言实现利用真值表法求主析取范式和主合取范式_zhtstar的博客-CSDN博客https://blog.csdn.net/weixin_56319483/ ...

  6. 【离散数学】C++语言实现利用真值表法求主析取范式和主合取范式

    Java版本的如下链接所示: Java语言实现利用真值表法求主析取范式和主合取范式_zhtstar的博客-CSDN博客https://blog.csdn.net/weixin_56319483/art ...

  7. 8盏流水灯反向闪烁c语言,课程设计(论文)_利用8255A芯片实现流水灯闪烁设计.doc...

    课程设计(论文)_利用8255A芯片实现流水灯闪烁设计 利用8255A芯片实现流水灯闪烁设计 27 - 目录 摘要··········································· ...

  8. python批量爬取小网格区域坐标系_Python爬虫实例_利用百度地图API批量获取城市所有的POI点...

    上篇关于爬虫的文章,我们讲解了如何运用Python的requests及BeautifuiSoup模块来完成静态网页的爬取,总结过程,网页爬虫本质就两步: 1.设置请求参数(url,headers,co ...

  9. 密码学实验题_03.3_AES实验_利用Sage构建AES的S盒和逆S盒(基于阅读Sage数学库的Python代码)

    密码学实验题_03.3_AES实验_利用Sage构建AES的S盒和逆S盒(基于阅读Sage数学库的Python代码) 3.    AES实验 3)    (思考题)利用Sage构建AES的S盒和逆S盒 ...

最新文章

  1. cdh的集成phoenix安装_环境篇:Kylin3.0.1集成CDH6.2.0
  2. 别人给你网盘分享东西怎么搞到电脑上看呢?
  3. String、StringBuffer、StringBuilder类
  4. Some projects cannot be imported because they already exist in the workspace
  5. 多个css样式合并到一个“目录”css文件中
  6. 【易语言】五子棋源码
  7. 客户端跳转与服务器跳转的区别
  8. 即时通讯学习笔记003---Tigase代码框架解读
  9. WebLogic 服务器概述 与 部署 Java Web 应用
  10. Qt Data Visualization 3D可视化
  11. sap系统webservice接口开发
  12. 考研英语 - word-list-44
  13. 浅谈数字音频处理的新技术
  14. python远程访问服务器获取文件
  15. 12306个人敏感信息泄露
  16. Python +appium 报错:NoSuchElementException: Message: An element could not be located on the page using
  17. 洛谷P2245 星际导航
  18. tmux | 使用手册 (cheat sheet)
  19. 编程入门篇之零基础入门(通用)
  20. 如何建造一个虚拟帝国?感官世界与人机交互需完美配合

热门文章

  1. Mathtype与word的格式问题
  2. MTK平台的LCM驱动移植
  3. linux下latex中文字体,Ubuntu下LaTex中文环境配置
  4. 使用pydicom将图片存为DICOM文件
  5. 【嵌入式算法】滑动平均滤波法
  6. Android Studio下载gitLab项目
  7. 电脑爱好者精选版2015年第22期
  8. HTML网页制作基础教程
  9. 图片涂鸦html插件,HTML5 canvas 在线涂鸦
  10. 中国电信服务亚运凸显全业务运营优势