PS FPGA和USB3.0通信知识记录(2)最后FX3驱动安装由于还未拿到开发板,后面再学习。我是个新人,请多多关照。

第四章 第一个例程与FPGA下载配置

4.1流水灯例程

介绍了Vivado创建工程、新建.v文件、约束文件、仿真文件、并介绍了仿真工具的简单使用验证了流水灯20ms变一次的功能正确性。

4.2 Xilinx7系列FPGA配置概述

4.2.1 不同的配置模式

①.bit文件就是用Vivado分析综合后的比特流,将其从外部存储器加载到内部存储器,FPGA才可以运行起来。加载的比特流除了可以来自外部的串行/并行Flash存储器,还可以由一些特定的主机芯片通过某些接口协议传输而来。由于Xilinx FPGA的配置数据运行在CMOS配置锁存器上,每次掉电就丢失了,所以每次上电都需要通过特殊的配置引脚对其做一次比特数据流的重新加载。不同的配置模式如下图1:

                                                        图1

不同配置模式的选择:FPGA的配置加载模式多样,但具体用哪一种,需要根据工程的实际需求。比如不同模式,所用的配置引脚数目不同,而有些配置引脚除了作配置用,还可以当IO口用,IO不够,也许可以考虑换模式以省出IO口。再比如配置加载的速度时间等因素也影响最终的配置模式。

FPGA配置电路讲解_哔哩哔哩_bilibilihttps://www.bilibili.com/video/BV1wL411M7mD?from=search&seid=10112663352901539694&spm_id_from=333.337.0.0找了一个视频是英特尔FPGA,不过类比一下,可以大概理解不同的配置模式的区别。

4.2.2 FPGA配置比特流的大小

不同FPGA器件对应的配置比特流大小不同。如下图2

                                                                         图2

4.2.3FPGA加载配置方式的选择

这里直接贴上特权同学的原文,写的超级清楚供大家学习参考!

PS 我的毕业设计中应该也会涉及这块内容,我理解的是FPGA不再是主模式加载而是从模式,从PC将比特流灌入FPGA。所以这个系列的名字叫FPGA与USB3.0通信。

PS我是新手,我的记录和想法不一定对,仅起参考作用,如有错误,劳烦指出!

 

4.2.4Xilinx配置引脚的定义 

既然学习了解FPGA配置模式,那么相关配置引脚的定义肯定要清楚,下面直接放上特权同学图书内容供大家查阅。

侵删!

 4.3 XADC温度监控界面

XADC是Xilinx FPGA内嵌的ADC模块。可以在Vivado中通过System Monitor功能查看当前片内温度和供电电压。

 4.4 ~4.5bit文件烧录、mcs文件的QSPI Flash固化

略,csdn其他优秀博主讲解过程更加详细。

FPGA和USB3.0通信知识记录(3)——基于特权同学图书《Xilinx FPGA伴你玩转USB3.0与LVDS》相关推荐

  1. FPGA和USB3.0通信知识记录(5)——基于特权同学图书《Xilinx FPGA伴你玩转USB3.0与LVDS》(Uart-lookback实验)

    上一篇(4)简单介绍了PLL IP核的用法,这一篇继续记录一个例程--FPGA串口通信. 之前学习51单片机的串口通讯实验的时候,似乎不是很难,简单了解一下协议就开始编程了,殊不知其实单片机的硬件串口 ...

  2. FPGA和USB3.0通信知识记录(2)——基于特权同学图书《Xilinx FPGA伴你玩转USB3.0与LVDS》

    一.注册XILINX账户 二.Vivado下载安装(先注册账户才行) Downloads (xilinx.com) 以上是我下载的那个版本对应的链接. ①点击如图所示版本 ②点击后填写账户信息,填写好 ...

  3. FPGA和USB3.0通信知识记录(4)——基于特权同学图书《Xilinx FPGA伴你玩转USB3.0与LVDS》

    上一次第(3)篇我记得记录了一下软件安装.这一节我来记录一个小例程--配置PLL 一.设计源文件 如图是工程主界面. 按红色箭头(从上到下)的顺序找到名为Clocking Wizard的IP核. 如上 ...

  4. 基于FMC 接口的Xilinx FPGA XC7K325T PCIeX8 接口卡

    王晓明  QQ / 微信 :199663740 提供板卡定制,承接项目. 基于FMC 接口的Xilinx FPGA XC7K325T PCIeX8 接口卡 XC7K325T-2FFG900 是 Xil ...

  5. 特权同学笔记-榨干FPGA片上存储资源

    榨干FPGA片上存储资源 记得Long long time ago,特权同学写过一篇简短的博文<M4K使用率>,文章中提到了Cyclone器件的内嵌存储块M4K的配置问题.文中提到了这个M ...

  6. FPGA和USB3.0通信

    1.USB3.0介绍 USB3.0协议:协议就是传输数据的规则,定义接口设备.器件及信号.总线及通道之间需要满足的关系. USB3.0接口:接口是一种连接标准,又常常被称之为物理接口. USB3.0总 ...

  7. FPGA和USB3.0通信-FX3硬件设计简谈

    FPGA和USB3.0通信-FX3硬件设计简谈 本次演示用的是USB3.0芯片-CYPRESS CYUSB3014(下称 FX3),该芯片是标准的USB3.0 PHY,可以大大简化使用USB通信时FP ...

  8. FPGA和USB3.0通信-USB3.0 PHY介绍

    可以和FPGA搭配使用的USB3.0 PHY芯片 通过之前的介绍<FPGA和USB3.0通信-USB3.0协议介绍 USB3.0 PHY简介 首先我们需要了解PHY具体完成哪些工作以及我利用FP ...

  9. iOS蓝牙BLE4.0通信功能

    概述 iOS蓝牙BLE4.0通信功能,最近刚学的苹果,为了实现蓝牙门锁的项目,找了一天学习了下蓝牙的原理,亲手测试了一次蓝牙的通信功能,结果成功了,那么就把我学习的东西分享一下. 详细 代码下载:ht ...

最新文章

  1. 计算机软件专业 课程,_计算机软件_专业的课程设置.pdf
  2. Redis中的客户端重定向
  3. 常用正则表达式,来自新浪微博的js
  4. php深浅拷贝,JavaScript 中的深浅拷贝
  5. 工作效率 工具_我使用的工具加快了我的工作流程,使我的工作效率更高
  6. next.js 无法导出及导出图片无法加载等问题
  7. C# Excel导入与导出
  8. 使用 .Net Memory Profiler 诊断 .NET 应用内存泄漏(方法与实践)
  9. springboot学习笔记1
  10. 小程序中上传图片并进行压缩(二)
  11. 【April Fools Day Contest 2014F】【愚人节脑洞 OEIS大法】000001 输出序列某一项
  12. 盘点 常见MQ : 消息队列总览
  13. ubuntu挂载windows分区
  14. 论文阅读:Instance Weighting in Dialogue Systems
  15. 2020-2021中国区块链企业发展白皮书.pdf.
  16. 谷粒商城-08-p139-p172
  17. eBay Android app 最新,ebay国际版app下载
  18. 树模型(六):XGBoost
  19. 实战派来了!聊聊百度智能运维的“前世今生” | 技术沙龙
  20. Excel数据分析:从入门到精通

热门文章

  1. c语言变量类型int,C语言基础学习基本数据类型-int类型与int变量
  2. 外贸B2B 平台汇集
  3. java毕业设计开题报告基于SSM考试在线报名管理系统
  4. 千人互动,18 位业界大咖,2022 开放原子全球开源峰会龙蜥专区总结来了
  5. Kindle 特价书
  6. 记一次蚂蚁金服电话面试
  7. java 获取wifi强度_获取wifi信号强度
  8. UESTC数据结构专题训练 G,H,I,J
  9. 【打猫创新-设备研究】涉诈远控APK阳桃控专项分析
  10. 你有你的肱二头肌,我有我的机械手臂。